dblp.uni-trier.dewww.uni-trier.de

Julio Sahuquillo

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
36EERaúl Peña-Ortiz, Julio Sahuquillo, Ana Pont, José A. Gil: Dweb model: Representing Web 2.0 dynamism. Computer Communications 32(6): 1118-1128 (2009)
2008
35EENoel Tomás, Julio Sahuquillo, Salvador Petit, Pedro López: Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. Euro-Par 2008: 317-326
34EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato: The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. IPDPS 2008: 1-11
33EED. Bautista, Julio Sahuquillo, H. Hassan, Salvador Petit, José Duato: A simple power-aware scheduling for multicore systems when running real-time applications. IPDPS 2008: 1-7
2007
32EEB. de la Ossa, José A. Gil, Julio Sahuquillo, Ana Pont: Delfos: the Oracle to Predict NextWeb User's Accesses. AINA 2007: 679-686
31EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato: VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. PACT 2007: 429
30EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López: Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors. SBAC-PAD 2007: 62-68
29EELeticia Pascual, Alejandro Torrentí, Julio Sahuquillo, Jose Flich: Understanding cache hierarchy interactions with a program-driven simulator. WCAE 2007: 30-35
28EEJosep Domènech, Ana Pont, Julio Sahuquillo, José A. Gil: A user-focused evaluation of web prefetching algorithms. Computer Communications 30(10): 2213-2224 (2007)
2006
27 Josep Domènech, Julio Sahuquillo, Ana Pont, José A. Gil: Design keys to adapt web prefetching algorithms to environment conditions. COMSWARE 2006
26EEJosep Domènech, Ana Pont, Julio Sahuquillo, José A. Gil: Cost-Benefit Analysis of Web Prefetching Algorithms from the User's Point of View. Networking 2006: 1113-1118
25EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López: Applying the zeros switch-off technique to reduce static energy in data caches. SBAC-PAD 2006: 133-140
24EESalvador Petit, Noel Tomás, Julio Sahuquillo, Ana Pont: An execution-driven simulation tool for teaching cache memories in introductory computer organization courses. WCAE 2006: 4
23EEJosep Domènech, Julio Sahuquillo, José A. Gil, Ana Pont: The Impact of the Web Prefetching Architecture on the Limits of Reducing User's Perceived Latency. Web Intelligence 2006: 740-744
22EEJosep Domènech, José A. Gil, Julio Sahuquillo, Ana Pont: Web prefetching performance metrics: A survey. Perform. Eval. 63(9-10): 988-1004 (2006)
21EESalvador Petit, Julio Sahuquillo, Ana Pont, David R. Kaeli: Addressing a workload characterization study to the design of consistency protocols. The Journal of Supercomputing 38(1): 49-72 (2006)
2005
20EEL. G. Cárdenas, José A. Gil, Josep Domènech, Julio Sahuquillo, Ana Pont: Performance Comparison of a Web Cache Simulation Framework. AINA 2005: 281-284
19EESalvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli: Exploiting temporal locality in drowsy cache policies. Conf. Computing Frontiers 2005: 371-377
18EEL. G. Cárdenas, José A. Gil, Julio Sahuquillo, Ana Pont: Emulating Web Cache Replacement Algorithms versus a Real System. ISCC 2005: 891-897
17EESalvador Petit, Julio Sahuquillo, Ana Pont: A Comparison Study of the HLRC-DU Protocol versus a HLRC Hardware Assisted Protocol. PDP 2005: 197-204
16EERaúl Peña-Ortiz, Julio Sahuquillo, Ana Pont, José A. Gil: Modeling continuous changes of the user's dynamic behavior in the WWW. WOSP 2005: 175-180
15EEJoan-Manuel Parcerisa, Julio Sahuquillo, Antonio González: On-Chip Interconnects and Instruction Steering Schemes for Clustered Microarchitectures. IEEE Trans. Parallel Distrib. Syst. 16(2): 130-144 (2005)
14EERaúl Peña-Ortiz, Julio Sahuquillo, Ana Pont, José A. Gil: Modelling users' dynamic behaviour in e-business environments using navigations. IJEB 3(3/4): 225-242 (2005)
13EEJulio Sahuquillo, Salvador Petit, Ana Pont, Veljko M. Milutinovic: Exploring the performance of split data cache schemes on superscalar processors and symmetric multiprocessors. Journal of Systems Architecture 51(8): 451-469 (2005)
2004
12EEJosep Domènech, Ana Pont, Julio Sahuquillo, José A. Gil: An Experimental Framework for Testing Web Prefetching Techniques. EUROMICRO 2004: 214-221
11EEJosep Domènech, Julio Sahuquillo, José A. Gil, Ana Pont: About the Heterogeneity of Web Prefetching Performance Key Metrics. INTELLCOMM 2004: 220-235
10EEL. G. Cárdenas, Julio Sahuquillo, Ana Pont, José A. Gil: The Multikey Web Cache Simulator: A Platform for Designing Proxy Cache Management Techniques. PDP 2004: 390-397
9EESalvador Petit, Julio Sahuquillo, Ana Pont, David R. Kaeli: Characterizing the Dynamic Behavior of Workload Execution in SVM systems. SBAC-PAD 2004: 230-237
2002
8EEJoan-Manuel Parcerisa, Julio Sahuquillo, Antonio González, José Duato: Efficient Interconnects for Clustered Microarchitectures. IEEE PACT 2002: 291-
7EESalvador Petit, Julio Sahuquillo, Ana Pont: Characterizing Parallel Workloads to Reduce Multiple Writer Overhead in Shared Virtual Memory Systems. PDP 2002: 261-268
2001
6EEFélix Buendía, Julio Sahuquillo, J. V. Benlloch, José A. Gil, M. Agustí, Paloma Díaz: XEDU, A Framework for Developing XML-Based Didactic Resources. EUROMICRO 2001: 427-434
2000
5EEJulio Sahuquillo, Ana Pont: Designing Competitive Coherence Protocols Taking Advantage of Reuse Information. EUROMICRO 2000: 1378-1385
4EEJ. C. Cano, Teresa Nachiondo Frinós, Julio Sahuquillo, Ana Pont, José A. Gil: WWW Client/Server Traffic Characterization: A Proxy Server Point of View. HICSS 2000
3EEJulio Sahuquillo, Ana Pont, Veljko M. Milutinovic: The Filter Data Cache: A Tour Management Comparison with Related Split Data Cache Schemes Sensitive to Data Localities. ISHPC 2000: 319-327
1999
2EEJulio Sahuquillo, Ana Pont: The Filter Cache: A Run-Time Cache Management Approach1. EUROMICRO 1999: 1424-1431
1998
1EEJulio Sahuquillo, Ana Pont: Impact of Reducing Miss Write Latencies in Multiprocessors with Two Level Cache. EUROMICRO 1998: 10333-10336

Coauthor Index

1M. Agustí [6]
2D. Bautista [33]
3J. V. Benlloch [6]
4Félix Buendía (Félix Buendía García) [6]
5J. C. Cano [4]
6L. G. Cárdenas [10] [18] [20]
7Paloma Díaz [6]
8Josep Domènech [11] [12] [20] [22] [23] [26] [27] [28]
9José Duato [8] [31] [33] [34]
10Jose Flich [29]
11Teresa Nachiondo Frinós [4]
12José A. Gil [4] [6] [10] [11] [12] [14] [16] [18] [20] [22] [23] [26] [27] [28] [32] [36]
13Antonio González [8] [15]
14H. Hassan [33]
15David R. Kaeli [9] [19] [21]
16Pedro López [25] [30] [31] [34] [35]
17Veljko M. Milutinovic [3] [13]
18B. de la Ossa [32]
19Joan-Manuel Parcerisa [8] [15]
20Leticia Pascual [29]
21Raúl Peña-Ortiz [14] [16] [36]
22Salvador Petit [7] [9] [13] [17] [19] [21] [24] [25] [30] [31] [33] [34] [35]
23Ana Pont [1] [2] [3] [4] [5] [7] [9] [10] [11] [12] [13] [14] [16] [17] [18] [20] [21] [22] [23] [24] [26] [27] [28] [32] [36]
24Jose M. Such [19]
25Noel Tomás [24] [35]
26Alejandro Torrentí [29]
27Rafael Ubal [25] [30] [31] [34]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)