dblp.uni-trier.dewww.uni-trier.de

Stephen W. Keckler

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
54EEMark Gebhart, Bertrand A. Maher, Katherine E. Coons, Jeff Diamond, Paul Gratz, Mario Marino, Nitya Ranganathan, Behnam Robatmili, Aaron Smith, James H. Burrill, Stephen W. Keckler, Doug Burger, Kathryn S. McKinley: An evaluation of the TRIPS computer system. ASPLOS 2009: 1-12
53EEBoris Grot, Joel Hestness, Stephen W. Keckler, Onur Mutlu: Express Cube Topologies for on-Chip Interconnects. HPCA 2009: 163-174
2008
52EEPaul Gratz, Boris Grot, Stephen W. Keckler: Regional congestion awareness for load balance in networks-on-chip. HPCA 2008: 203-214
51EEFranziska Roesner, Doug Burger, Stephen W. Keckler: Counting Dependence Predictors. ISCA 2008: 215-226
50EEDivya Gulati, Changkyu Kim, Simha Sethumadhavan, Stephen W. Keckler, Doug Burger: Multitasking workload scheduling on flexible-core chip multiprocessors. PACT 2008: 187-196
49EEJeffrey R. Diamond, Behnam Robatmili, Stephen W. Keckler, Robert A. van de Geijn, Kazushige Goto, Doug Burger: High performance dense linear algebra on a spatially distributed processor. PPOPP 2008: 63-72
2007
48EEMichael T. Clark, Peter Hofstee, Edward J. Barragy, Ian Buck, Stephen W. Keckler: The future of multi-core technologies. CLUSTER 2007
47EEHeather Hanson, Stephen W. Keckler, Karthick Rajamani, Soraya Ghiasi, Freeman L. Rawson III, Juan Rubio: Power, Performance, and Thermal Management for High-Performance Systems. IPDPS 2007: 1-8
46EESimha Sethumadhavan, Franziska Roesner, Joel S. Emer, Doug Burger, Stephen W. Keckler: Late-binding: enabling unordered load-store queues. ISCA 2007: 347-357
45EEHeather Hanson, Stephen W. Keckler, Soraya Ghiasi, Karthick Rajamani, Freeman L. Rawson III, Juan Rubio: Thermal response to DVFS: analysis with an Intel Pentium M. ISLPED 2007: 219-224
44EEChangkyu Kim, Simha Sethumadhavan, M. S. Govindan, Nitya Ranganathan, Divya Gulati, Doug Burger, Stephen W. Keckler: Composable Lightweight Processors. MICRO 2007: 381-394
43EEPaul Gratz, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Robert G. McDonald, Stephen W. Keckler, Doug Burger: Implementation and Evaluation of a Dynamically Routed Processor Operand Network. NOCS 2007: 7-17
42EEJayaram Mudigonda, Harrick M. Vin, Stephen W. Keckler: Reconciling performance and programmability in networking systems. SIGCOMM 2007: 73-84
41EEPaul Gratz, Changkyu Kim, Karthikeyan Sankaralingam, Heather Hanson, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger: On-Chip Interconnection Networks of the TRIPS Chip. IEEE Micro 27(5): 41-50 (2007)
40EEJohn D. Owens, William J. Dally, Ron Ho, D. N. Jayasimha, Stephen W. Keckler, Li-Shiuan Peh: Research Challenges for On-Chip Interconnection Networks. IEEE Micro 27(5): 96-108 (2007)
39EEJaehyuk Huh, Changkyu Kim, Hazim Shafi, Lixin Zhang, Doug Burger, Stephen W. Keckler: A NUCA Substrate for Flexible CMP Cache Sharing. IEEE Trans. Parallel Distrib. Syst. 18(8): 1028-1040 (2007)
2006
38EESimha Sethumadhavan, Robert G. McDonald, Rajagopalan Desikan, Doug Burger, Stephen W. Keckler: Design and Implementation of the TRIPS Primary Memory System. ICCD 2006
37EEPaul Gratz, Changkyu Kim, Robert G. McDonald, Stephen W. Keckler, Doug Burger: Implementation and Evaluation of On-Chip Network Architectures. ICCD 2006
36EEKartik K. Agaram, Stephen W. Keckler, Calvin Lin, Kathryn S. McKinley: Decomposing memory performance: data structures and phases. ISMM 2006: 95-103
35EERamadass Nagarajan, Xia Chen, Robert G. McDonald, Doug Burger, Stephen W. Keckler: Critical path analysis of the TRIPS architecture. ISPASS 2006: 37-47
34EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Robert G. McDonald, Rajagopalan Desikan, Saurabh Drolia, M. S. Govindan, Paul Gratz, Divya Gulati, Heather Hanson, Changkyu Kim, Haiming Liu, Nitya Ranganathan, Simha Sethumadhavan, Sadia Sharif, Premkishore Shivakumar, Stephen W. Keckler, Doug Burger: Distributed Microarchitectural Protocols in the TRIPS Prototype Processor. MICRO 2006: 480-491
33EEAaron Smith, Ramadass Nagarajan, Karthikeyan Sankaralingam, Robert G. McDonald, Doug Burger, Stephen W. Keckler, Kathryn S. McKinley: Dataflow Predication. MICRO 2006: 89-102
2005
32EEJaehyuk Huh, Changkyu Kim, Hazim Shafi, Lixin Zhang, Doug Burger, Stephen W. Keckler: A NUCA substrate for flexible CMP cache sharing. ICS 2005: 31-40
2004
31EERajagopalan Desikan, Simha Sethumadhavan, Doug Burger, Stephen W. Keckler: Scalable selective re-execution for EDGE architectures. ASPLOS 2004: 120-132
30EERamadass Nagarajan, Sundeep K. Kushwaha, Doug Burger, Kathryn S. McKinley, Calvin Lin, Stephen W. Keckler: Static Placement, Dynamic Issue (SPDI) Scheduling for EDGE Architectures. IEEE PACT 2004: 74-84
29EEDoug Burger, Stephen W. Keckler, Kathryn S. McKinley, Michael Dahlin, Lizy Kurian John, Calvin Lin, Charles R. Moore, James H. Burrill, Robert G. McDonald, William Yode: Scaling to the End of Silicon with EDGE Architectures. IEEE Computer 37(7): 44-55 (2004)
28EESimha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler: Scalable Hardware Memory Disambiguation for High-ILP Processors. IEEE Micro 24(6): 118-127 (2004)
27EEDoug Burger, Todd M. Austin, Stephen W. Keckler: Recent extensions to the SimpleScalar tool suite. SIGMETRICS Performance Evaluation Review 31(4): 4-7 (2004)
26EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Nitya Ranganathan, Doug Burger, Stephen W. Keckler, Robert G. McDonald, Charles R. Moore: TRIPS: A polymorphous architecture for exploiting ILP, TLP, and DLP. TACO 1(1): 62-93 (2004)
2003
25EEKarthikeyan Sankaralingam, Vincent Ajay Singh, Stephen W. Keckler, Doug Burger: Routed Inter-ALU Networks for ILP Scalability and Performance. ICCD 2003: 170-
24EEPremkishore Shivakumar, Stephen W. Keckler, Charles R. Moore, Doug Burger: Exploiting Microarchitectural Redundancy For Defect Tolerance. ICCD 2003: 481-488
23EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Doug Burger, Stephen W. Keckler, Charles R. Moore: Exploiting ILP, TLP and DLP with the Polymorphous TRIPS Architecture. ISCA 2003: 422-433
22EEKarthik Natarajan, Heather Hanson, Stephen W. Keckler, Charles R. Moore, Doug Burger: Microprocessor pipeline energy analysis. ISLPED 2003: 282-287
21EEKarthikeyan Sankaralingam, Stephen W. Keckler, William R. Mark, Doug Burger: Universal Mechanisms for Data-Parallel Architectures. MICRO 2003: 303-314
20EESimha Sethumadhavan, Rajagopalan Desikan, Doug Burger, Charles R. Moore, Stephen W. Keckler: Scalable Hardware Memory Disambiguation for High ILP Processors. MICRO 2003: 399-410
19EEKarthikeyan Sankaralingam, Ramadass Nagarajan, Haiming Liu, Changkyu Kim, Jaehyuk Huh, Doug Burger, Stephen W. Keckler, Charles R. Moore: Exploiting ILP, TLP, and DLP with the Polymorphous TRIPS Architecture. IEEE Micro 23(6): 46-51 (2003)
18EEChangkyu Kim, Doug Burger, Stephen W. Keckler: Nonuniform Cache Architectures for Wire-Delay Dominated On-Chip Caches. IEEE Micro 23(6): 99-107 (2003)
17EEHeather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger: Static energy reduction techniques for microprocessor caches. IEEE Trans. VLSI Syst. 11(3): 303-313 (2003)
2002
16EEChangkyu Kim, Doug Burger, Stephen W. Keckler: An adaptive, non-uniform cache structure for wire-delay dominated on-chip caches. ASPLOS 2002: 211-222
15EEPremkishore Shivakumar, Michael Kistler, Stephen W. Keckler, Doug Burger, Lorenzo Alvisi: Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic. DSN 2002: 389-398
14EEM. S. Hrishikesh, Doug Burger, Stephen W. Keckler, Premkishore Shivakumar, Norman P. Jouppi, Keith I. Farkas: The Optimal Logic Depth Per Pipeline Stage is 6 to 8 FO4 Inverter Delays. ISCA 2002: 14-24
13EERajagopalan Desikan, Doug Burger, Stephen W. Keckler, Llorenc Cruz, Fernando Latorre, Antonio González, Mateo Valero: Errata on "Measuring Experimental Error in Microprocessor Simulation". SIGARCH Computer Architecture News 30(1): 2-4 (2002)
2001
12 Heather Hanson, M. S. Hrishikesh, Vikas Agarwal, Stephen W. Keckler, Doug Burger: Static Energy Reduction Techniques for Microprocessor Caches. ICCD 2001: 276-283
11EEJaehyuk Huh, Doug Burger, Stephen W. Keckler: Exploring the Design Space of Future CMPs. IEEE PACT 2001: 199-210
10EERamadass Nagarajan, Karthikeyan Sankaralingam, Doug Burger, Stephen W. Keckler: A design space evaluation of grid processor architectures. MICRO 2001: 40-51
2000
9EEVikas Agarwal, M. S. Hrishikesh, Stephen W. Keckler, Doug Burger: Clock rate versus IPC: the end of the road for conventional microarchitectures. ISCA 2000: 248-259
8EENicholas P. Carter, William J. Dally, Whay Sing Lee, Stephen W. Keckler, Andrew Chang: Processor Mechanisms for Software Shared Memory. ISHPC 2000: 120-133
7EEDaniel A. Jiménez, Stephen W. Keckler, Calvin Lin: The impact of delay on the design of branch predictors. MICRO 2000: 67-76
1999
6 Stephen W. Keckler, Andrew Chang, Whay Sing Lee, Sandeep Chatterjee, William J. Dally: Concurrent Event Handling through Multithreading. IEEE Trans. Computers 48(9): 903-916 (1999)
1998
5EEStephen W. Keckler, William J. Dally, Daniel Maskit, Nicholas P. Carter, Andrew Chang, Whay Sing Lee: Exploiting Fine-grain Thread Level Parallelism on the MIT Multi-ALU Processor. ISCA 1998: 306-317
4 Whay Sing Lee, William J. Dally, Stephen W. Keckler, Nicholas P. Carter, Andrew Chang: An Efficient, Protected Message Interface. IEEE Computer 31(11): 69-75 (1998)
1995
3EEMarco Fillo, Stephen W. Keckler, William J. Dally, Nicholas P. Carter, Andrew Chang, Yevgeny Gurevich, Whay Sing Lee: The M-Machine multicomputer. MICRO 1995: 146-156
1994
2 Nicholas P. Carter, Stephen W. Keckler, William J. Dally: Hardware Support for Fast Capability-based Addressing. ASPLOS 1994: 319-327
1992
1 Stephen W. Keckler, William J. Dally: Processor Coupling: Integrating Compile Time and Runtime Scheduling for Parallelism. ISCA 1992: 202-213

Coauthor Index

1Kartik K. Agaram [36]
2Vikas Agarwal [9] [12] [17]
3Lorenzo Alvisi [15]
4Todd M. Austin [27]
5Edward J. Barragy [48]
6Ian Buck [48]
7Doug Burger [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [37] [38] [39] [41] [43] [44] [46] [49] [50] [51] [54]
8James H. Burrill [29] [54]
9Nicholas P. Carter [2] [3] [4] [5] [8]
10Andrew Chang [3] [4] [5] [6] [8]
11Sandeep Chatterjee [6]
12Xia Chen [35]
13Michael T. Clark [48]
14Katherine E. Coons [54]
15Llorenc Cruz [13]
16Michael Dahlin [29]
17William J. Dally [1] [2] [3] [4] [5] [6] [8] [40]
18Rajagopalan Desikan [13] [20] [28] [31] [34] [38]
19Jeff Diamond [54]
20Jeffrey R. Diamond [49]
21Saurabh Drolia [34]
22Joel S. Emer [46]
23Keith I. Farkas [14]
24Marco Fillo [3]
25Mark Gebhart [54]
26Robert A. van de Geijn [49]
27Soraya Ghiasi [45] [47]
28Antonio González [13]
29Kazushige Goto [49]
30M. S. Govindan [34] [44]
31Paul Gratz [34] [37] [41] [43] [52] [54]
32Boris Grot [52] [53]
33Divya Gulati [34] [44] [50]
34Yevgeny Gurevich [3]
35Heather Hanson [12] [17] [22] [34] [41] [43] [45] [47]
36Joel Hestness [53]
37Ron Ho [40]
38Peter Hofstee [48]
39M. S. Hrishikesh [9] [12] [14] [17]
40Jaehyuk Huh [11] [19] [23] [26] [32] [39]
41D. N. Jayasimha [40]
42Daniel A. Jiménez [7]
43Lizy Kurian John (Lizy K. John) [29]
44Norman P. Jouppi [14]
45Changkyu Kim [16] [18] [19] [23] [26] [32] [34] [37] [39] [41] [44] [50]
46Michael Kistler [15]
47Sundeep K. Kushwaha [30]
48Fernando Latorre [13]
49Whay Sing Lee [3] [4] [5] [6] [8]
50Calvin Lin [7] [29] [30] [36]
51Haiming Liu [19] [23] [26] [34]
52Bertrand A. Maher [54]
53Mario Marino [54]
54William R. Mark [21]
55Daniel Maskit [5]
56Robert G. McDonald [26] [29] [33] [34] [35] [37] [38] [43]
57Kathryn S. McKinley [29] [30] [33] [36] [54]
58Charles R. Moore [19] [20] [22] [23] [24] [26] [28] [29]
59Jayaram Mudigonda [42]
60Onur Mutlu [53]
61Ramadass Nagarajan [10] [19] [23] [26] [30] [33] [34] [35]
62Karthik Natarajan [22]
63John D. Owens [40]
64Li-Shiuan Peh [40]
65Karthick Rajamani [45] [47]
66Nitya Ranganathan [26] [34] [44] [54]
67Freeman L. Rawson III [45] [47]
68Behnam Robatmili [49] [54]
69Franziska Roesner [46] [51]
70Juan C. Rubio (Juan Rubio) [45] [47]
71Karthikeyan Sankaralingam [10] [19] [21] [23] [25] [26] [33] [34] [41] [43]
72Simha Sethumadhavan [20] [28] [31] [34] [38] [44] [46] [50]
73Hazim Shafi [32] [39]
74Sadia Sharif [34]
75Premkishore Shivakumar [14] [15] [24] [34] [41] [43]
76Vincent Ajay Singh [25]
77Aaron Smith [33] [54]
78Mateo Valero [13]
79Harrick M. Vin [42]
80William Yode [29]
81Lixin Zhang [32] [39]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)