dblp.uni-trier.dewww.uni-trier.de

David H. Albonesi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
56EEJonathan A. Winter, David H. Albonesi: Scheduling algorithms for unpredictably heterogeneous CMP architectures. DSN 2008: 42-51
55EEMatthew A. Watkins, Mark J. Cianchetti, David H. Albonesi: Shared reconfigurable architectures for CMPS. FPL 2008: 299-304
54EEJonathan A. Winter, David H. Albonesi: Addressing thermal nonuniformity in SMT workloads. TACO 5(1): (2008)
2007
53EESonia López, Steve Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares: Dynamic Capacity-Speed Tradeoffs in SMT Processor Caches. HiPEAC 2007: 136-150
52EESonia López, Steven G. Dropsho, David H. Albonesi, Oscar Garnica, Juan Lanchares: Rate-Driven Control of Resizable Caches for Highly Threaded SMT Processors. PACT 2007: 416
51EEDavid H. Albonesi: Standing on Solid Ground. IEEE Micro 27(1): 5-6 (2007)
50EENevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi: On-Chip Optical Technology in Future Bus-Based Multicore Designs. IEEE Micro 27(1): 56-66 (2007)
49EEDavid H. Albonesi: Editor in Chief's Message: Truly "hot" chips - Do we still care? IEEE Micro 27(2): 4-5 (2007)
48EEDavid H. Albonesi: More Hot Stuff. IEEE Micro 27(3): 4-5 (2007)
47EEDavid H. Albonesi: Mixing It Up. IEEE Micro 27(4): 3-4 (2007)
46EEDavid H. Albonesi: Productive and Healthy Debate. IEEE Micro 27(6): 6 (2007)
45EEGuoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson, David H. Albonesi, Philippe M. Fauchet, Eby G. Friedman: Predictions of CMOS compatible on-chip optical interconnect. Integration 40(4): 434-446 (2007)
2006
44EEAli El-Moursy, R. Garg, David H. Albonesi, Sandhya Dwarkadas: Compatible phase co-scheduling on a CMP of multi-threaded processors. IPDPS 2006
43EEYongKang Zhu, David H. Albonesi: Localized microarchitecture-level voltage management. ISCAS 2006
42EEYongKang Zhu, David H. Albonesi: Synergistic temperature and energy management in GALS processor architectures. ISLPED 2006: 55-60
41EENevin Kirman, Meyrem Kirman, Rajeev K. Dokania, José F. Martínez, Alyssa B. Apsel, Matthew A. Watkins, David H. Albonesi: Leveraging Optical Technology in Future Bus-based Chip Multiprocessors. MICRO 2006: 492-503
2005
40EEM. Wasiur Rashid, Edwin J. Tan, Michael C. Huang, David H. Albonesi: Exploiting Coarse-Grain Verification Parallelism for Power-Efficient Fault Tolerance. IEEE PACT 2005: 315-328
39EEGuoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson, Philippe M. Fauchet, Eby G. Friedman, David H. Albonesi: Electrical and optical on-chip interconnects in scaled microprocessors. ISCAS (3) 2005: 2514-2517
38EEAli El-Moursy, Rajeev Garg, David H. Albonesi, Sandhya Dwarkadas: Partitioning Multi-Threaded Processors with a Large Number of Threads. ISPASS 2005: 112-123
37EEYongKang Zhu, David H. Albonesi, Alper Buyuktosunoglu: A High Performance, Energy Efficient GALS ProcessorMicroarchitecture with Reduced Implementation Complexity. ISPASS 2005: 42-53
36EEGuoqing Chen, Hui Chen, Mikhail Haurylau, Nicholas Nelson, Philippe M. Fauchet, Eby G. Friedman, David H. Albonesi: Predictions of CMOS compatible on-chip optical interconnect. SLIP 2005: 13-20
35EEM. Wasiur Rashid, Edwin J. Tan, Michael C. Huang, David H. Albonesi: Power-Efficient Error Tolerance in Chip Multiprocessors. IEEE Micro 25(6): 60-70 (2005)
2004
34EEGreg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott, Steven G. Dropsho, Sandhya Dwarkadas: Hiding Synchronization Delays in a GALS Processor Microarchitecture. ASYNC 2004: 159-169
33EEYongKang Zhu, Grigorios Magklis, Michael L. Scott, Chen Ding, David H. Albonesi: The Energy Impact of Aggressive Loop Fusion. IEEE PACT 2004: 153-164
32EESteven G. Dropsho, Greg Semeraro, David H. Albonesi, Grigorios Magklis, Michael L. Scott: Dynamically Trading Frequency for Complexity in a GALS Microprocessor. MICRO 2004: 157-168
31EEDavid H. Albonesi: Guest Editor's Introduction: Micro's Top Picks from Microarchitecture Conferences. IEEE Micro 24(6): 8-9 (2004)
30EEWanli Liu, David H. Albonesi, John Gostomski, Lloyd Palum, Dave Hinterberger, Rick Wanzenried, Mark Indovina: An Evaluation of a Configurable Vliw Microarchitecture for Embedded Dsp Applications. Journal of Circuits, Systems, and Computers 13(6): 1321-1346 (2004)
2003
29EEAli El-Moursy, David H. Albonesi: Front-End Policies for Improved Issue Efficiency in SMT Processors. HPCA 2003: 31-
28EELei Chen, Steve Dropsho, David H. Albonesi: Dynamic Data Dependence Tracking and its Application to Branch Prediction. HPCA 2003: 65-
27EEGrigorios Magklis, Michael L. Scott, Greg Semeraro, David H. Albonesi, Steve Dropsho: Profile-Based Dynamic Voltage and Frequency Scaling for a Multiple Clock Domain Microprocessor. ISCA 2003: 14-25
26EEAlper Buyuktosunoglu, Tejas Karkhanis, David H. Albonesi, Pradip Bose: Energy Efficient Co-Adaptive Instruction Fetch and Issue. ISCA 2003: 147-156
25EERajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi: Dynamically Managing the Communication-Parallelism Trade-off in Future Clustered Processors. ISCA 2003: 275-286
24EEDavid H. Albonesi, Rajeev Balasubramonian, Steve Dropsho, Sandhya Dwarkadas, Eby G. Friedman, Michael C. Huang, Volkan Kursun, Grigorios Magklis, Michael L. Scott, Greg Semeraro, Pradip Bose, Alper Buyuktosunoglu, Peter W. Cook, Stanley Schuster: Dynamically Tuning Processor Resources with Adaptive Processing. IEEE Computer 36(12): 49-58 (2003)
23EEPradip Bose, David H. Albonesi, Diana Marculescu: Guest Editors' Introduction: Power and Complexity Aware Design. IEEE Micro 23(5): 8-11 (2003)
22EEGrigorios Magklis, Greg Semeraro, David H. Albonesi, Steve Dropsho, Sandhya Dwarkadas, Michael L. Scott: Dynamic Frequency and Voltage Scaling for a Multiple-Clock-Domain Microprocessor. IEEE Micro 23(6): 62-68 (2003)
21EERajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas: A Dynamically Tunable Memory Hierarchy. IEEE Trans. Computers 52(10): 1243-1258 (2003)
2002
20EEGreg Semeraro, Grigorios Magklis, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, Michael L. Scott: Energy-Efficient Processor Design Using Multiple Clock Domains with Dynamic Voltage and Frequency Scaling. HPCA 2002: 29-42
19EESteve Dropsho, Alper Buyuktosunoglu, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, Greg Semeraro, Grigorios Magklis, Michael L. Scott: Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic Power. IEEE PACT 2002: 141-
18EEAlper Buyuktosunoglu, David H. Albonesi, Pradip Bose, Peter W. Cook, Stanley Schuster: Tradeoffs in power-efficient issue queue design. ISLPED 2002: 184-189
17EEWael El-Essawy, David H. Albonesi, Balaram Sinharoy: A microarchitectural-level step-power analysis tool. ISLPED 2002: 263-266
16EESteve Dropsho, Volkan Kursun, David H. Albonesi, Sandhya Dwarkadas, Eby G. Friedman: Managing static leakage energy in microprocessor functional units. MICRO 2002: 321-332
15EEGreg Semeraro, David H. Albonesi, Steve Dropsho, Grigorios Magklis, Sandhya Dwarkadas, Michael L. Scott: Dynamic frequency and voltage control for a multiple clock domain microarchitecture. MICRO 2002: 356-367
14EEPradip Bose, David Brooks, Alper Buyuktosunoglu, Peter W. Cook, K. Das, Philip G. Emma, Michael Gschwind, Hans M. Jacobson, Tejas Karkhanis, Prabhakar Kudva, Stanley Schuster, James E. Smith, Viji Srinivasan, Victor V. Zyuban, David H. Albonesi, Sandhya Dwarkadas: Early-Stage Definition of LPX: A Low Power Issue-Execute Processor. PACS 2002: 1-17
2001
13EEAlper Buyuktosunoglu, David H. Albonesi, Stanley Schuster, David Brooks, Pradip Bose, Peter W. Cook: A circuit level implementation of an adaptive issue queue for power-aware microprocessors. ACM Great Lakes Symposium on VLSI 2001: 73-78
12EERajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi: Dynamically allocating processor resources between nearby and distant ILP. ISCA 2001: 26-37
11EERajeev Balasubramonian, Sandhya Dwarkadas, David H. Albonesi: Reducing the complexity of the register file in dynamic superscalar processors. MICRO 2001: 237-248
10 Brian W. Curran, Mary Gifaldi, Jason Martin, Alper Buyuktosunoglu, Martin Margala, David H. Albonesi: Low-Voltage 0, 25 µm CMOS Improved Power Adaptive Issue Queue for Embedded Microprocessors. VLSI-SOC 2001: 289-300
2000
9EERajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas: Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. MICRO 2000: 245-257
8EEAlper Buyuktosunoglu, Stanley Schuster, David Brooks, Pradip Bose, Peter W. Cook, David H. Albonesi: An Adaptive Issue Queue for Reduced Power at High Performance. PACS 2000: 25-39
7EEBingxiong Xu, David H. Albonesi: Runtime Reconfiguration Techniques for Efficient General-Purpose Computation. IEEE Design & Test of Computers 17(1): 42-52 (2000)
6EEDavid H. Albonesi: Selective Cache Ways: On-Demand Cache Resource Allocation. J. Instruction-Level Parallelism 2: (2000)
1999
5EEDavid H. Albonesi: Selective Cache Ways: On-Demand Cache Resource Allocation. MICRO 1999: 248-
4 David H. Albonesi: An Architectural and Circuit-Level Approach to Improving the Energy Efficiency of Microprocessor Memory Structures. VLSI 1999: 192-205
1998
3EEDavid H. Albonesi: Dynamic IPC/Clock Rate Optimization. ISCA 1998: 282-292
1997
2EEDavid H. Albonesi, Israel Koren: Improving the Memory Bandwidth of Highly-Integrated, Wide-Issue, Microprocessor-Based Systems. IEEE PACT 1997: 126-135
1994
1 David H. Albonesi, Israel Koren: Tradeoffs in the Design of Single Chip Multiprocessors. IFIP PACT 1994: 25-34

Coauthor Index

1Alyssa B. Apsel [41] [50]
2Rajeev Balasubramonian [9] [11] [12] [19] [20] [21] [24] [25]
3Pradip Bose [8] [13] [14] [18] [23] [24] [26]
4David Brooks [8] [13] [14]
5Alper Buyuktosunoglu [8] [9] [10] [13] [14] [18] [19] [21] [24] [26] [37]
6Guoqing Chen [36] [39] [45]
7Hui Chen [36] [39] [45]
8Lei Chen [28]
9Mark J. Cianchetti [55]
10Peter W. Cook [8] [13] [14] [18] [24]
11Brian W. Curran [10]
12K. Das [14]
13Chen Ding [33]
14Rajeev K. Dokania [41] [50]
15Steven G. Dropsho (Steve Dropsho) [15] [16] [19] [22] [24] [27] [28] [32] [34] [52] [53]
16Sandhya Dwarkadas [9] [11] [12] [14] [15] [16] [19] [20] [21] [22] [24] [25] [34] [38] [44]
17Wael El-Essawy [17]
18Ali El-Moursy [29] [38] [44]
19Philip G. Emma [14]
20Philippe M. Fauchet [36] [39] [45]
21Eby G. Friedman [16] [24] [36] [39] [45]
22R. Garg [44]
23Rajeev Garg [38]
24Oscar Garnica [52] [53]
25Mary Gifaldi [10]
26John Gostomski [30]
27Michael Gschwind [14]
28Mikhail Haurylau [36] [39] [45]
29Dave Hinterberger [30]
30Michael C. Huang [24] [35] [40]
31Mark Indovina [30]
32Hans M. Jacobson [14]
33Tejas Karkhanis [14] [26]
34Meyrem Kirman [41] [50]
35Nevin Kirman [41] [50]
36Israel Koren [1] [2]
37Prabhakar Kudva [14]
38Volkan Kursun [16] [24]
39Juan Lanchares [52] [53]
40Wanli Liu [30]
41Sonia López [52] [53]
42Grigorios Magklis [15] [19] [20] [22] [24] [27] [32] [33] [34]
43Diana Marculescu [23]
44Martin Margala [10]
45Jason Martin [10]
46José F. Martínez [41] [50]
47Nicholas Nelson [36] [39] [45]
48Lloyd Palum [30]
49M. Wasiur Rashid [35] [40]
50Stanley Schuster [8] [13] [14] [18] [24]
51Michael L. Scott [15] [19] [20] [22] [24] [27] [32] [33] [34]
52Greg Semeraro [15] [19] [20] [22] [24] [27] [32] [34]
53Balaram Sinharoy [17]
54James E. Smith [14]
55Viji Srinivasan [14]
56Edwin J. Tan [35] [40]
57Rick Wanzenried [30]
58Matthew A. Watkins [41] [50] [55]
59Jonathan A. Winter [54] [56]
60Bingxiong Xu [7]
61YongKang Zhu [33] [37] [42] [43]
62Victor V. Zyuban [14]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)