dblp.uni-trier.dewww.uni-trier.de

Fabio Salice

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
61EELaura Frigerio, Fabio Salice: A performance-oriented hardware/software partitioning for datapath applications. CODES+ISSS 2008: 55-60
60EELaura Frigerio, Matteo A. Radaelli, Fabio Salice: A Generalized Approach for the Use of Convolutional Coding in SEU Mitigation. DFT 2008: 427-435
59EECristiana Bolchini, Antonio Miele, Fabio Rebaudengo, Fabio Salice, Donatella Sciuto, Luca Sterpone, Massimo Violante: Software and Hardware Techniques for SEU Detection in IP Processors. J. Electronic Testing 24(1-3): 35-44 (2008)
2007
58EELaura Frigerio, Fabio Salice: RAM-Based Fault Tolerant State Machines for FPGAs. DFT 2007: 312-320
57EECarlo Brandolese, D. Crespi, Laura Frigerio, Fabio Salice: A New Framework for Design and Simulation of Complex Hardware/Software Systems. DSD 2007: 236-243
56 Cristiana Bolchini, Fabio Salice, Marco D. Santambrogio: Exploring Partial Reconfiguration for Mitigating SEU faults in SRAM-Based FPGAs. ERSA 2007: 199-202
55EECristiana Bolchini, Fabio Salice, Donatella Sciuto, Luigi Pomante: Reliable System Specification for Self-Checking Data-Paths CoRR abs/0710.4685: (2007)
2006
54EECarlo Brandolese, William Fornaciari, Luigi Pomante, Fabio Salice, Donatella Sciuto: Affinity-Driven System Design Exploration for Heterogeneous Multiprocessor SoC. IEEE Trans. Computers 55(5): 508-519 (2006)
53EECristiana Bolchini, Paolo Ferrandi, Pier Luca Lanzi, Fabio Salice: Evolving classifiers on field programmable gate arrays: Migrating XCS to FPGAs. Journal of Systems Architecture 52(8-9): 516-533 (2006)
2005
52EECristiana Bolchini, Paolo Ferrandi, Pier Luca Lanzi, Fabio Salice: Toward an FPGA implementation of XCS. Congress on Evolutionary Computation 2005: 2053-2060
51EECristiana Bolchini, Fabio Salice, Donatella Sciuto, Luigi Pomante: Reliable System Specification for Self-Checking Data-Paths. DATE 2005: 1278-1283
50EECristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto: A model of soft error effects in generic IP processors. DFT 2005: 334-342
2004
49EECarlo Brandolese, William Fornaciari, Fabio Salice: An area estimation methodology for FPGA based designs at systemc-level. DAC 2004: 129-132
48EECarlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto: Analysis and Modeling of Energy Reducing Source Code Transformations. DATE 2004: 306-311
47EECristiana Bolchini, Antonio Miele, Fabio Salice, Donatella Sciuto, Luigi Pomante: Reliable System Co-Design: The FIR Case Study. DFT 2004: 433-441
46EECarlo Brandolese, William Fornaciari, Fabio Salice: Discrete-Event Modeling and Simulation of Superscalar Microprocessor Architectures. PATMOS 2004: 238-247
2003
45EEWilliam Fornaciari, Fabio Salice, Daniele Paolo Scarpazza: Early estimation of the size of VHDL projects. CODES+ISSS 2003: 207-212
44EEWilliam Fornaciari, P. Micheli, Fabio Salice, L. Zampella: A First Step Towards Hw/Sw Partitioning of UML Specifications. DATE 2003: 10668-10673
43EECarlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto: Library Functions Timing Characterization for Source-Level Analysis. DATE 2003: 11132-11133
42EECristiana Bolchini, Fabio Salice, Donatella Sciuto, R. Zavaglia: An Integrated Design Approach for Self-Checking FPGAs. DFT 2003: 443-450
41 Fabio Salice, William Fornaciari, Luigi Pomante, Donatella Sciuto: An Internal Representation Model for System-Level Co-Design of Heterogeneous Multiprocessor Embedded System. FDL 2003: 669-680
40 Fabio Salice, William Fornaciari, Luca Del Vecchio, Luigi Pomante: Partitioning of Embedded Applications onto Heterogeneous Multiprocessor Architectures. SAC 2003: 661-665
39EECristiana Bolchini, Fabio Salice, Fabio A. Schreiber, Letizia Tanca: Logical and physical design issues for smart card databases. ACM Trans. Inf. Syst. 21(3): 254-285 (2003)
2002
38EEDonatella Sciuto, Fabio Salice, Luigi Pomante, William Fornaciari: Metrics for design space exploration of heterogeneous multiprocessor embedded systems. CODES 2002: 55-60
37EEFabio Salice, Mariagiovanna Sami, Renato Stefanelli: Fault-Tolerant CAM Architectures: A Design Framework. DFT 2002: 233-244
36EECristiana Bolchini, Fabio Salice, Donatella Sciuto: Designing Self-Checking FPGAs through Error Detection Codes. DFT 2002: 60-68
35EECristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto: A System Level Approach in Designing Dual-Duplex Fault Tolerant Embedded Systems. IOLTW 2002: 32-
34EEWilliam Fornaciari, Vito Trianni, Carlo Brandolese, Donatella Sciuto, Fabio Salice, Giovanni Beltrame: Modeling Assembly Instruction Timing in Superscalar Architectures. ISSS 2002: 132-137
33 Cristiana Bolchini, Fabio Salice, Fabio A. Schreiber, Letizia Tanca: Physical and Logical Data Structures for Very Small Databases. SEBD 2002: 337-344
32EECarlo Brandolese, Fabio Salice, William Fornaciari, Donatella Sciuto: Static power modeling of 32-bit microprocessors. IEEE Trans. on CAD of Integrated Circuits and Systems 21(11): 1306-1316 (2002)
31EECristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto: Reliability Properties Assessment at System Level: A Co-Design Framework. J. Electronic Testing 18(3): 351-356 (2002)
30EECarlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto: The Impact of Source Code Transformations on Software Power and Energy Consumption. Journal of Circuits, Systems, and Computers 11(5): 477-502 (2002)
2001
29EEWilliam Fornaciari, Fabio Salice, Umberto Bondi, Edi Magini: Development cost and size estimation starting from high-level specifications. CODES 2001: 86-91
28EECarlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto: Source-level execution time estimation of C programs. CODES 2001: 98-103
27EECristiana Bolchini, Fabio Salice: A Software Methodology for Detecting Hardware Faults in VLIW Data Paths. DFT 2001: 170-175
26EEGiovanni Beltrame, Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto, Vito Trianni: An Assembly-Level Execution-Time Model for Pipelined Architectures. ICCAD 2001: 195-200
25EECristiana Bolchini, Fabio Salice, Donatella Sciuto: Designing Reliable Embedded Systems Based on 32 Bit Microprocessors. IOLTW 2001: 137
24EECristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto: Reliability Properties Assessment at System Level: A Co-design Framework. IOLTW 2001: 165-171
23 Giovanni Beltrame, Carlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto, Vito Trianni: Dynamic modeling of inter-instruction effects for execution time estimation. ISSS 2001: 136-141
22 Cristiana Bolchini, Luigi Pomante, Fabio Salice, Donatella Sciuto: On-line fault detection in a hardware/software co-design environment. ISSS 2001: 51-56
2000
21EECarlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto: Energy estimation for 32-bit microprocessors. CODES 2000: 24-28
20EECarlo Brandolese, William Fornaciari, Fabio Salice, Donatella Sciuto: An instruction-level functionally-based energy estimation model for 32-bits microprocessors. DAC 2000: 346-351
19EECarlo Brandolese, William Fornaciari, Luigi Pomante, Fabio Salice, Donatella Sciuto: A Multi-Level Strategy for Software Power Estimation. ISSS 2000: 187-192
18EEAlberto Allara, Massimo Bombana, William Fornaciari, Fabio Salice: A Case Study in Design Space Exploration: The Tosca Environment Applied to a Telecommunication Link Controller. IEEE Design & Test of Computers 17(2): 60-72 (2000)
17EECristiana Bolchini, R. Montandon, Fabio Salice, Donatella Sciuto: Design of VHDL-based totally self-checking finite-state machine and data-path descriptions. IEEE Trans. VLSI Syst. 8(1): 98-103 (2000)
1999
16EECristiana Bolchini, Luigi Pomante, Donatella Sciuto, Fabio Salice: A Synthesis Methodology Aimed at Improving the Quality of TSC Devices. DFT 1999: 247-255
1998
15EEAlberto Allara, William Fornaciari, Fabio Salice, Donatella Sciuto: A Model for System-Level Timed Analysis and Profiling. DATE 1998: 204-210
14EECristiana Bolchini, Fabio Salice, Donatella Sciuto: Fault Analysis in Networks with Concurrent Error Detection Properties. DATE 1998: 957-958
13EECristiana Bolchini, William Fornaciari, Fabio Salice, Donatella Sciuto: Concurrent Error Detection at Architectural Level. ISSS 1998: 72-75
12EECristiana Bolchini, Fabio Salice, Donatella Sciuto: Fault Analysis for Networks with Concurrent Error Detection. IEEE Design & Test of Computers 15(4): 66-74 (1998)
1997
11EEAlberto Allara, S. Filipponi, Fabio Salice, William Fornaciari, Donatella Sciuto: A Flexible Model for Evaluating the Behavior of Hardware/Software Systems. CODES 1997: 109-114
10EECristiana Bolchini, Donatella Sciuto, Fabio Salice: Designing Networks with Error Detection Properties through the Fault-Error Relation. DFT 1997: 290-297
9EECristiana Bolchini, Fabio Salice, Donatella Sciuto: A novel methodology for designing TSC networks based on the parity bit code. ED&TC 1997: 440-444
8EECristiana Bolchini, Fabio Salice, Donatella Sciuto: Parity Bit Code: Achieving a Complete Fault Coverage in the Design of TSC Combinational Networks. Great Lakes Symposium on VLSI 1997: 32-
7 Alberto Allara, S. Filipponi, William Fornaciari, Fabio Salice, Donatella Sciuto: Improving Design Turnaround Time via Two-Levels Hw/Sw Co-Simulation. ICCD 1997: 400-405
6 Cristiana Bolchini, Donatella Sciuto, Fabio Salice: A TSC Evaluation Function for Combinational Circuits. ICCD 1997: 555-560
1995
5 Giacomo Buonanno, Fabio Salice, Donatella Sciuto: Behavior of Self-Checking Checkers for 1-out-of-3 Codes Based on Pass-Transistor Logic. ISCAS 1995: 1924-1927
4 Cristiana Bolchini, Franco Fummi, R. Gemelli, Fabio Salice: A BDD Based Algorithm for Detecting Difficult Faults. ISCAS 1995: 2015-2018
3EEWilliam Fornaciari, Fabio Salice: A new architecture for the automatic design of custom digital neural network. IEEE Trans. VLSI Syst. 3(4): 502-506 (1995)
1994
2 Fabio Salice, Mariagiovanna Sami, Donatella Sciuto: Synthesis of Multi-level Self-Checking Logic. DFT 1994: 115-123
1993
1 A. Dell'Acqua, M. Hansen, S. Inkinen, B. Lofstedt, J. P. Vanuxem, Christer Svensson, Jiren Yuan, H. Hentzell, L. Del Buono, J. David, J. F. Genat, H. Lebbolo, O. LeDortz, P. Nayman, A. Savoy-Navarro, R. Zitoun, Cesare Alippi, Luca Breveglieri, Luigi Dadda, Vincenzo Piuri, Fabio Salice, Mariagiovanna Sami, Renato Stefanelli, P. Cattaneo, G. Fumagalli, G. Goggi, S. Brigati, Umberto Gatti, Franco Maloberti, Guido Torelli, P. Carlson, A. Kerek, Goran Appelquist, S. Berglund, C. Bohm, Magnus Engström, N. Yamdagni, Rolf Sundblad, I. Höglund, S. T. Persson: System Level Policies for Fault Tolerance Issues in the FERMI Project. DFT 1993: 1-8

Coauthor Index

1Cesare Alippi [1]
2Alberto Allara [7] [11] [15] [18]
3Goran Appelquist [1]
4Giovanni Beltrame [23] [26] [34]
5S. Berglund [1]
6C. Bohm [1]
7Cristiana Bolchini [4] [6] [8] [9] [10] [12] [13] [14] [16] [17] [22] [24] [25] [27] [31] [33] [35] [36] [39] [42] [47] [50] [51] [52] [53] [55] [56] [59]
8Massimo Bombana [18]
9Umberto Bondi [29]
10Carlo Brandolese [19] [20] [21] [23] [26] [28] [30] [32] [34] [43] [46] [48] [49] [54] [57]
11Luca Breveglieri [1]
12S. Brigati [1]
13Giacomo Buonanno [5]
14L. Del Buono [1]
15P. Carlson [1]
16P. Cattaneo [1]
17D. Crespi [57]
18Luigi Dadda [1]
19J. David [1]
20A. Dell'Acqua [1]
21Magnus Engström [1]
22Paolo Ferrandi [52] [53]
23S. Filipponi [7] [11]
24William Fornaciari [3] [7] [11] [13] [15] [18] [19] [20] [21] [23] [26] [28] [29] [30] [32] [34] [38] [40] [41] [43] [44] [45] [46] [48] [49] [54]
25Laura Frigerio [57] [58] [60] [61]
26G. Fumagalli [1]
27Franco Fummi [4]
28Umberto Gatti [1]
29R. Gemelli [4]
30J. F. Genat [1]
31G. Goggi [1]
32M. Hansen [1]
33H. Hentzell [1]
34I. Höglund [1]
35S. Inkinen [1]
36A. Kerek [1]
37Pier Luca Lanzi [52] [53]
38O. LeDortz [1]
39H. Lebbolo [1]
40B. Lofstedt [1]
41Edi Magini [29]
42Franco Maloberti [1]
43P. Micheli [44]
44Antonio Miele [47] [50] [59]
45R. Montandon [17]
46P. Nayman [1]
47S. T. Persson [1]
48Vincenzo Piuri [1]
49Luigi Pomante [16] [19] [22] [24] [31] [35] [38] [40] [41] [47] [51] [54] [55]
50Matteo A. Radaelli [60]
51Fabio Rebaudengo [59]
52Mariagiovanna Sami [1] [2] [37]
53Marco D. Santambrogio [56]
54A. Savoy-Navarro [1]
55Daniele Paolo Scarpazza [45]
56Fabio A. Schreiber [33] [39]
57Donatella Sciuto [2] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [19] [20] [21] [22] [23] [24] [25] [26] [28] [30] [31] [32] [34] [35] [36] [38] [41] [42] [43] [47] [48] [50] [51] [54] [55] [59]
58Renato Stefanelli [1] [37]
59Luca Sterpone [59]
60Rolf Sundblad [1]
61Christer Svensson [1]
62Letizia Tanca [33] [39]
63Guido Torelli [1]
64Vito Trianni [23] [26] [34]
65J. P. Vanuxem [1]
66Luca Del Vecchio [40]
67Massimo Violante [59]
68N. Yamdagni [1]
69Jiren Yuan [1]
70L. Zampella [44]
71R. Zavaglia [42]
72R. Zitoun [1]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)