dblp.uni-trier.dewww.uni-trier.de

Ujval J. Kapasi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2004
15EEJung Ho Ahn, William J. Dally, Brucek Khailany, Ujval J. Kapasi, Abhishek Das: Evaluating the Imagine Stream Architecture. ISCA 2004: 14-25
14EEWilliam J. Dally, Ujval J. Kapasi, Brucek Khailany, Jung Ho Ahn, Abhishek Das: Stream Processors: Progammability and Efficiency. ACM Queue 2(1): 52-62 (2004)
2003
13EEBrucek Khailany, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens, Brian Towles: Exploring the VLSI Scalability of Stream Processors. HPCA 2003: 153-164
12EEWilliam J. Dally, Francois Labonte, Abhishek Das, Pat Hanrahan, Jung Ho Ahn, Jayanth Gummaraju, Mattan Erez, Nuwan Jayasena, Ian Buck, Timothy J. Knight, Ujval J. Kapasi: Merrimac: Supercomputing with Streams. SC 2003: 35
11EEUjval J. Kapasi, Scott Rixner, William J. Dally, Brucek Khailany, Jung Ho Ahn, Peter R. Mattson, John D. Owens: Programmable Stream Processors. IEEE Computer 36(8): 54-62 (2003)
2002
10EEUjval J. Kapasi, William J. Dally, Scott Rixner, John D. Owens, Brucek Khailany: The Imagine Stream Processor. ICCD 2002: 282-288
9EEBrucek Khailany, William J. Dally, Andrew Chang, Ujval J. Kapasi, Jinyung Namkoong, Brian Towles: VLSI Design and Verification of the Imagine Processor. ICCD 2002: 289-294
8EEJohn D. Owens, Scott Rixner, Ujval J. Kapasi, Peter R. Mattson, Brian Towles, Ben Serebrin, William J. Dally: Media Processing Applications on the Imagine Stream Processor. ICCD 2002: 295-302
7EEBen Serebrin, John D. Owens, Chen H. Chen, Stephen P. Crago, Ujval J. Kapasi, Peter R. Mattson, Jinyung Namkoong, Scott Rixner, William J. Dally: A Stream Processor Development Platform. ICCD 2002: 303-
2001
6EEBrucek Khailany, William J. Dally, Ujval J. Kapasi, Peter R. Mattson, Jinyung Namkoong, John D. Owens, Brian Towles, Andrew Chang, Scott Rixner: Imagine: Media Processing with Streams. IEEE Micro 21(2): 35-46 (2001)
2000
5EEPeter R. Mattson, William J. Dally, Scott Rixner, Ujval J. Kapasi, John D. Owens: Communication Scheduling. ASPLOS 2000: 82-92
4EEScott Rixner, William J. Dally, Brucek Khailany, Peter R. Mattson, Ujval J. Kapasi, John D. Owens: Register Organization for Media Processing. HPCA 2000: 375-386
3EEScott Rixner, William J. Dally, Ujval J. Kapasi, Peter R. Mattson, John D. Owens: Memory access scheduling. ISCA 2000: 128-138
2EEUjval J. Kapasi, William J. Dally, Scott Rixner, Peter R. Mattson, John D. Owens, Brucek Khailany: Efficient conditional operations for data-parallel architectures. MICRO 2000: 159-170
1998
1EEScott Rixner, William J. Dally, Ujval J. Kapasi, Brucek Khailany, Abelardo López-Lagunas, Peter R. Mattson, John D. Owens: A Bandwidth-efficient Architecture for Media Processing. MICRO 1998: 3-13

Coauthor Index

1Jung Ho Ahn [11] [12] [14] [15]
2Ian Buck [12]
3Andrew Chang [6] [9]
4Chen H. Chen [7]
5Stephen P. Crago [7]
6William J. Dally [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]
7Abhishek Das [12] [14] [15]
8Mattan Erez [12]
9Jayanth Gummaraju [12]
10Pat Hanrahan [12]
11Nuwan Jayasena [12]
12Brucek Khailany [1] [2] [4] [6] [9] [10] [11] [13] [14] [15]
13Timothy J. Knight [12]
14Francois Labonte [12]
15Abelardo López-Lagunas [1]
16Peter R. Mattson [1] [2] [3] [4] [5] [6] [7] [8] [11]
17Jinyung Namkoong [6] [7] [9]
18John D. Owens [1] [2] [3] [4] [5] [6] [7] [8] [10] [11] [13]
19Scott Rixner [1] [2] [3] [4] [5] [6] [7] [8] [10] [11] [13]
20Ben Serebrin [7] [8]
21Brian Towles [6] [8] [9] [13]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)