dblp.uni-trier.dewww.uni-trier.de

Jung Ho Ahn

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
13EEDana Vantrease, Robert Schreiber, Matteo Monchiero, Moray McLaren, Norman P. Jouppi, Marco Fiorentino, Al Davis, Nathan L. Binkert, Raymond G. Beausoleil, Jung Ho Ahn: Corona: System Implications of Emerging Nanophotonic Technology. ISCA 2008: 153-164
12EEShyamkumar Thoziyoor, Jung Ho Ahn, Matteo Monchiero, Jay B. Brockman, Norman P. Jouppi: A Comprehensive Memory Modeling Tool and Its Application to the Design and Analysis of Future Memory Hierarchies. ISCA 2008: 51-62
2007
11EEJung Ho Ahn, Mattan Erez, William J. Dally: Tradeoff between data-, instruction-, and thread-level parallelism in stream processors. ICS 2007: 126-137
10EEMattan Erez, Jung Ho Ahn, Jayanth Gummaraju, Mendel Rosenblum, William J. Dally: Executing irregular scientific applications on stream architectures. ICS 2007: 93-104
2006
9EEJung Ho Ahn, Mattan Erez, William J. Dally: Architecture - The design space of data-parallel memory systems. SC 2006: 80
8EEJung Ho Ahn, William J. Dally: Data parallel address architecture. Computer Architecture Letters 5(1): 30-33 (2006)
2005
7EEJung Ho Ahn, Mattan Erez, William J. Dally: Scatter-Add in Data Parallel Architectures. HPCA 2005: 132-142
2004
6EENuwan Jayasena, Mattan Erez, Jung Ho Ahn, William J. Dally: Stream Register Files with Indexed Access. HPCA 2004: 60-72
5EEJung Ho Ahn, William J. Dally, Brucek Khailany, Ujval J. Kapasi, Abhishek Das: Evaluating the Imagine Stream Architecture. ISCA 2004: 14-25
4EEMattan Erez, Jung Ho Ahn, Ankit Garg, William J. Dally, Eric Darve: Analysis and Performance Results of a Molecular Modeling Application on Merrimac. SC 2004: 42
3EEWilliam J. Dally, Ujval J. Kapasi, Brucek Khailany, Jung Ho Ahn, Abhishek Das: Stream Processors: Progammability and Efficiency. ACM Queue 2(1): 52-62 (2004)
2003
2EEWilliam J. Dally, Francois Labonte, Abhishek Das, Pat Hanrahan, Jung Ho Ahn, Jayanth Gummaraju, Mattan Erez, Nuwan Jayasena, Ian Buck, Timothy J. Knight, Ujval J. Kapasi: Merrimac: Supercomputing with Streams. SC 2003: 35
1EEUjval J. Kapasi, Scott Rixner, William J. Dally, Brucek Khailany, Jung Ho Ahn, Peter R. Mattson, John D. Owens: Programmable Stream Processors. IEEE Computer 36(8): 54-62 (2003)

Coauthor Index

1Raymond G. Beausoleil [13]
2Nathan L. Binkert [13]
3Jay B. Brockman [12]
4Ian Buck [2]
5William J. Dally [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11]
6Eric Darve [4]
7Abhishek Das [2] [3] [5]
8Al Davis [13]
9Mattan Erez [2] [4] [6] [7] [9] [10] [11]
10Marco Fiorentino [13]
11Ankit Garg [4]
12Jayanth Gummaraju [2] [10]
13Pat Hanrahan [2]
14Nuwan Jayasena [2] [6]
15Norman P. Jouppi [12] [13]
16Ujval J. Kapasi [1] [2] [3] [5]
17Brucek Khailany [1] [3] [5]
18Timothy J. Knight [2]
19Francois Labonte [2]
20Peter R. Mattson [1]
21Moray McLaren [13]
22Matteo Monchiero [12] [13]
23John D. Owens [1]
24Scott Rixner [1]
25Mendel Rosenblum [10]
26Robert Schreiber [13]
27Shyamkumar Thoziyoor [12]
28Dana Vantrease [13]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)