dblp.uni-trier.dewww.uni-trier.de

Anshul Kumar

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
58EEAwadhesh Kumar Singh, Rohit Bhat, Anshul Kumar: An Index-Based Mobile Checkpointing and Recovery Algorithm. ICDCN 2009: 200-205
2008
57EENagaraju Pothineni, Anshul Kumar, Kolin Paul: Exhaustive Enumeration of Legal Custom Instructions for Extensible Processors. VLSI Design 2008: 261-266
56EENagaraju Pothineni, Anshul Kumar, Kolin Paul: A Novel Approach to Compute Spatial Reuse in the Design of Custom Instructions. VLSI Design 2008: 348-353
2007
55 Nagaraju Pothineni, Anshul Kumar, Kolin Paul: Recurring Pattern Identification and its Application to Instruction Set Extension. CDES 2007: 67-73
54EENeeraj Goel, Anshul Kumar, Preeti Ranjan Panda: Power Reduction in VLIW Processor with Compiler Driven Bypass Network. VLSI Design 2007: 233-238
53EENagaraju Pothineni, Anshul Kumar, Kolin Paul: Application Specific Datapath Extension with Distributed I/O Functional Units. VLSI Design 2007: 551-558
52EEAnup Gangwar, M. Balakrishnan, Anshul Kumar: Impact of intercluster communication mechanisms on ILP in clustered VLIW architectures. ACM Trans. Design Autom. Electr. Syst. 12(1): (2007)
51EEAnup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar: Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. International Journal of Parallel Programming 35(6): 507-527 (2007)
2006
50EEBasant Kumar Dwivedi, Arun Kejariwal, M. Balakrishnan, Anshul Kumar: Rapid Resource-Constrained Hardware Performance Estimation. IEEE International Workshop on Rapid System Prototyping 2006: 40-46
2005
49EEAnup Gangwar, M. Balakrishnan, Preeti Ranjan Panda, Anshul Kumar: Evaluation of Bus Based Interconnect Mechanisms in Clustered VLIW Architectures. DATE 2005: 730-735
48EEVenkat Rao, Gaurav Singhal, Anshul Kumar, Nicolas Navet: Battery Model for Embedded Systems. VLSI Design 2005: 105-110
47EEManoj Kumar Jain, M. Balakrishnan, Anshul Kumar: Integrated On-Chip Storage Evaluation in ASIP Synthesis. VLSI Design 2005: 274-279
2004
46EEBasant Kumar Dwivedi, Anshul Kumar, M. Balakrishnan: Automatic synthesis of system on chip multiprocessor architectures for process networks. CODES+ISSS 2004: 60-65
45EEDiviya Jain, Anshul Kumar, Laura Pozzi, Paolo Ienne: Automatically Customising VLIW Architectures with Coarse Grained Application-Specific Functional Units. SCOPES 2004: 17-32
44EEVenkat Rao, Gaurav Singhal, Anshul Kumar: Real Time Dynamic Voltage Scaling For Embedded Systems. VLSI Design 2004: 650-653
43EESourabh Saluja, Anshul Kumar: Performance Analysis of Inter Cluster Communication Methods in VLIW Architecture. VLSI Design 2004: 761-764
42EEBasant Kumar Dwivedi, Anshul Kumar, M. Balakrishnan: Synthesis of Application Specific Multiprocessor Architectures for Process Networks. VLSI Design 2004: 780-783
41EEManoj Kumar Jain, M. Balakrishnan, Anshul Kumar: An efficient technique for exploring register file size in ASIP design. IEEE Trans. on CAD of Integrated Circuits and Systems 23(12): 1693-1699 (2004)
2003
40EEManoj Kumar Jain, M. Balakrishnan, Anshul Kumar: Exploring Storage Organization in ASIP Synthesis. DSD 2003: 120-127
39EERohini Krishnan, Om Prakash Gangwal, Jos T. J. van Eijndhoven, Anshul Kumar: Design of a 2D DCT/IDCT application specific VLIW processor supporting scaled and sub-sampled blocks. VLSI Design 2003: 177-182
38EEAmarjeet Singh, Amit Chhabra, Anup Gangwar, Basant Kumar Dwivedi, M. Balakrishnan, Anshul Kumar: SoC Synthesis with Automatic Hardware Software Interface Generation. VLSI Design 2003: 585-
2002
37EEManoj Kumar Jain, M. Balakrishnan, Anshul Kumar: An efficient technique for exploring register file size in ASIP synthesis. CASES 2002: 252-261
36EESushil Chandra Jain, Anshul Kumar, Shashi Kumar: Hybrid Multi-FPGA Board Evaluation by Limiting Multi-Hop Routing. IEEE International Workshop on Rapid System Prototyping 2002: 66-
35EEM. Balakrishnan, Anshul Kumar, C. P. Joshi: A New Performance Evaluation Approach for System Level Design Space Exploration. ISSS 2002: 180-185
34EEM. Balakrishnan, Anshul Kumar, Paolo Ienne, Anup Gangwar, Bhuvan Middha: A Trimaran Based Framework for Exploring the Design Space of VLIW ASIPs with Coarse Grain Functional Units. ISSS 2002: 2-7
33EEVishal P. Bhatt, M. Balakrishnan, Anshul Kumar: Exploring the Number of Register Windows in ASIP Synthesis. VLSI Design 2002: 233-238
32EEMurali Mohan, Rohini Krishnan, Anshul Kumar, M. Balakrishnan: A New Divide and Conquer Method for Achieving High Speed Division in Hardware. VLSI Design 2002: 535-540
2001
31EEAnupam Rastogi, M. Balakrishnan, Anshul Kumar: Integrating Communication Cost Estimation in Embedded Systems Design : A PCI Case Study. VLSI Design 2001: 23-28
30EERam Lakhan Gupta, Anshul Kumar, Aalbert Van Der Werf, Natalino G. Busa: Synthesizing A Long Latency Unit Within Vliw Processor. VLSI Design 2001: 460-
29EEManoj Kumar Jain, M. Balakrishnan, Anshul Kumar: ASIP Design Methodologies : Survey and Issues. VLSI Design 2001: 76-
2000
28EESushil Chandra Jain, Anshul Kumar, Shashi Kumar: Efficient Embedding of Partitioned Circuits onto Multi-FPGA Boards. FPL 2000: 201-210
27EESushil Chandra Jain, Shashi Kumar, Anshul Kumar: Evaluation of Various Routing Architectures for Multi-FPGA Boards. VLSI Design 2000: 262-267
26EEArvind Rajawat, M. Balakrishnan, Anshul Kumar: nterface Synthesis: Issues and Approaches. VLSI Design 2000: 92
25EEJohnny Öberg, Anshul Kumar, Ahmed Hemani: Grammar-based hardware synthesis from port-size independent specifications. IEEE Trans. VLSI Syst. 8(2): 184-194 (2000)
1999
24EEMohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: Built-in Self Test Based on Multiple On-Chip Signature Checking. J. Electronic Testing 14(3): 227-244 (1999)
1998
23EEJohnny Öberg, Ahmed Hemani, Anshul Kumar: Scheduling of Outputs in Grammar-based Hardware Synthesis of Data Communication Protocols. DATE 1998: 596-
22EEJohnny Öberg, Anshul Kumar, Ahmed Hemani: Specification of Exception Handling in Grammar-Based Hardware Synthesis. EUROMICRO 1998: 10038-10041
21 Mohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: Hybrid Testing Schemes Based on Mutual and Signature Testing. VLSI Design 1998: 293-
20EEJohnny Öberg, Axel Jantsch, Anshul Kumar: An Object-Oriented Concept for Intelligent Library Functions. VLSI Design 1998: 355-358
19 Sitanshu Jain, M. Balakrishnan, Anshul Kumar, Shashi Kumar: Speeding Up Program Execution Using Reconfigurable Hardware and a Hardware Function Library. VLSI Design 1998: 400-405
18 Mohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: On-Chip Signature Checking for Embedded Memories. VLSI Design 1998: 558-563
17EEA. R. Naseer, M. Balakrishnan, Anshul Kumar: Direct mapping of RTL structures onto LUT-based FPGA's. IEEE Trans. on CAD of Integrated Circuits and Systems 17(7): 624-631 (1998)
16EEMohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: Optimization of Mutual and Signature Testing Schemes for Highly Concurrent Systems. J. Electronic Testing 12(3): 199-216 (1998)
1997
15EEMohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: A scheme for multiple on-chip signature checking for embedded SRAMs. ED&TC 1997: 625
14EEA. R. Naseer, M. Balakrishnan, Anshul Kumar: Optimal Clock Period for Synthesized Data Paths. VLSI Design 1997: 134-139
13EEMohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: Efficient Implementation of Multiple On-Chip Signature Checking. VLSI Design 1997: 297-302
1996
12EEJohnny Öberg, Anshul Kumar, Ahmed Hemani: Grammar-Based Hardware Synthesis of Data Communication Protocols. ISSS 1996: 14-19
11EEMohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar: A Novel BIST Architecture With Built-in Self Check. VLSI Design 1996: 57-60
1995
10 A. R. Naseer, M. Balakrishnan, Anshul Kumar: Delay Minimal Mapping of RTL Structures onto LUT Based FPGAs. FPL 1995: 139-148
9EEB. M. Subraya, Anshul Kumar, Shashi Kumar: An HOL based framework for design of correct high level synthesizers. VLSI Design 1995: 249-254
8EEAlok Kumar, Anshul Kumar, M. Balakrishnan: Heuristic search based approach to scheduling, allocation and binding in Data Path Synthesis. VLSI Design 1995: 75-80
1994
7 A. R. Naseer, M. Balakrishnan, Anshul Kumar: An Efficient Technique for Mapping RTL Structures onto FPGAs. FPL 1994: 99-110
6 A. R. Naseer, M. Balakrishnan, Anshul Kumar: FAST: FPGA Targeted RTL Structure Synthesis Technique. VLSI Design 1994: 21-24
1993
5 C. S. Ajay, M. Balakrishnan, D. Harikrishna, M. Karunakaran, Anshul Kumar, Shashi Kumar, V. Mudgil, A. R. Naseer: High Level Design Experiences with IDEAS. VLSI Design 1993: 110
4 M. V. Rao, M. Balakrishnan, Anshul Kumar: DESSERT: Design Space Exploration of RT Level Components. VLSI Design 1993: 299-304
1985
3EEKumar Ramayya, Anshul Kumar, Surendra Prasad: An automated data path synthesizer for a canonic structure, implementable in VLSI. DAC 1985: 381-387
2EEAnjali Arya, Anshul Kumar, V. V. Swaminathan, Amit Misra: Automatic generation of digital system schematic diagrams. DAC 1985: 388-395
1980
1 Anshul Kumar, P. C. P. Bhatt: A Structured Language for CAD of Digital Systems. ISCA 1980: 308-316

Coauthor Index

1Mohammed Fadle Abdulla [11] [13] [15] [16] [18] [21] [24]
2C. S. Ajay [5]
3Anjali Arya [2]
4M. Balakrishnan [4] [5] [6] [7] [8] [10] [14] [17] [19] [26] [29] [31] [32] [33] [34] [35] [37] [38] [40] [41] [42] [46] [47] [49] [50] [51] [52]
5Rohit Bhat [58]
6P. C. P. Bhatt [1]
7Vishal P. Bhatt [33]
8Natalino G. Busa [30]
9Amit Chhabra [38]
10Basant Kumar Dwivedi [38] [42] [46] [50]
11Jos T. J. van Eijndhoven [39]
12Om Prakash Gangwal [39]
13Anup Gangwar [34] [38] [49] [51] [52]
14Neeraj Goel [54]
15Ram Lakhan Gupta [30]
16D. Harikrishna [5]
17Ahmed Hemani [12] [22] [23] [25]
18Paolo Ienne [34] [45]
19Diviya Jain [45]
20Manoj Kumar Jain [29] [37] [40] [41] [47]
21Sitanshu Jain [19]
22Sushil Chandra Jain [27] [28] [36]
23Axel Jantsch [20]
24C. P. Joshi [35]
25M. Karunakaran [5]
26Arun Kejariwal [50]
27Rohini Krishnan [32] [39]
28Alok Kumar [8]
29Shashi Kumar [5] [9] [19] [27] [28] [36]
30Bhuvan Middha [34]
31Amit Misra [2]
32Murali Mohan [32]
33V. Mudgil [5]
34A. R. Naseer [5] [6] [7] [10] [14] [17]
35Nicolas Navet [48]
36Johnny Öberg [12] [20] [22] [23] [25]
37Preeti Ranjan Panda [49] [51] [54]
38Kolin Paul [53] [55] [56] [57]
39Nagaraju Pothineni [53] [55] [56] [57]
40Laura Pozzi [45]
41Surendra Prasad [3]
42Arvind Rajawat [26]
43Kumar Ramayya [3]
44M. V. Rao [4]
45Venkat Rao [44] [48]
46Anupam Rastogi [31]
47C. P. Ravikumar [11] [13] [15] [16] [18] [21] [24]
48Sourabh Saluja [43]
49Awadhesh Kumar Singh [58]
50Amarjeet Singh [38]
51Gaurav Singhal [44] [48]
52B. M. Subraya [9]
53V. V. Swaminathan [2]
54Aalbert Van Der Werf [30]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)