dblp.uni-trier.dewww.uni-trier.de

Alper Buyuktosunoglu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
21EEReinaldo A. Bergamaschi, Guoling Han, Alper Buyuktosunoglu, Hiren D. Patel, Indira Nair, Gero Dittmann, Geert Janssen, Nagu R. Dhanwada, Zhigang Hu, Pradip Bose, John A. Darringer: Exploring power management in multi-core systems. ASP-DAC 2008: 708-713
20EECarlos Boneti, Francisco J. Cazorla, Roberto Gioiosa, Alper Buyuktosunoglu, Chen-Yong Cher, Mateo Valero: Software-Controlled Priority Characterization of POWER5 Processor. ISCA 2008: 415-426
2007
19EEReinaldo A. Bergamaschi, Indira Nair, Gero Dittmann, Hiren D. Patel, Geert Janssen, Nagu R. Dhanwada, Alper Buyuktosunoglu, Emrah Acar, Gi-Joon Nam, Dorothy Kucar, Pradip Bose, John A. Darringer, Guoling Han: Performance modeling for early analysis of multi-core systems. CODES+ISSS 2007: 209-214
18EEJoseph J. Sharkey, Alper Buyuktosunoglu, Pradip Bose: Evaluating design tradeoffs in on-chip power management for CMPs. ISLPED 2007: 44-49
2006
17EECanturk Isci, Alper Buyuktosunoglu, Chen-Yong Cher, Pradip Bose, Margaret Martonosi: An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. MICRO 2006: 347-358
2005
16EEHans M. Jacobson, Pradip Bose, Zhigang Hu, Alper Buyuktosunoglu, Victor V. Zyuban, Rick Eickemeyer, Lee Eisen, John Griswell, Doug Logan, Balaram Sinharoy, Joel M. Tendler: Stretching the Limits of Clock-Gating Efficiency in Server-Class Processors. HPCA 2005: 238-242
15EEYongKang Zhu, David H. Albonesi, Alper Buyuktosunoglu: A High Performance, Energy Efficient GALS ProcessorMicroarchitecture with Reduced Implementation Complexity. ISPASS 2005: 42-53
14EECanturk Isci, Alper Buyuktosunoglu, Margaret Martonosi: Long-Term Workload Phases: Duration Predictions and Applications to DVFS. IEEE Micro 25(5): 39-51 (2005)
2004
13EEZhigang Hu, Alper Buyuktosunoglu, Viji Srinivasan, Victor V. Zyuban, Hans M. Jacobson, Pradip Bose: Microarchitectural techniques for power gating of execution units. ISLPED 2004: 32-37
2003
12EEAlper Buyuktosunoglu, Tejas Karkhanis, David H. Albonesi, Pradip Bose: Energy Efficient Co-Adaptive Instruction Fetch and Issue. ISCA 2003: 147-156
11EERajeev Balasubramonian, Viji Srinivasan, Sandhya Dwarkadas, Alper Buyuktosunoglu: Hot-and-Cold: Using Criticality in the Design of Energy-Efficient Caches. PACS 2003: 180-195
10EEDavid H. Albonesi, Rajeev Balasubramonian, Steve Dropsho, Sandhya Dwarkadas, Eby G. Friedman, Michael C. Huang, Volkan Kursun, Grigorios Magklis, Michael L. Scott, Greg Semeraro, Pradip Bose, Alper Buyuktosunoglu, Peter W. Cook, Stanley Schuster: Dynamically Tuning Processor Resources with Adaptive Processing. IEEE Computer 36(12): 49-58 (2003)
9EERajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas: A Dynamically Tunable Memory Hierarchy. IEEE Trans. Computers 52(10): 1243-1258 (2003)
2002
8EESteve Dropsho, Alper Buyuktosunoglu, Rajeev Balasubramonian, David H. Albonesi, Sandhya Dwarkadas, Greg Semeraro, Grigorios Magklis, Michael L. Scott: Integrating Adaptive On-Chip Storage Structures for Reduced Dynamic Power. IEEE PACT 2002: 141-
7EEAlper Buyuktosunoglu, David H. Albonesi, Pradip Bose, Peter W. Cook, Stanley Schuster: Tradeoffs in power-efficient issue queue design. ISLPED 2002: 184-189
6EEPradip Bose, David Brooks, Alper Buyuktosunoglu, Peter W. Cook, K. Das, Philip G. Emma, Michael Gschwind, Hans M. Jacobson, Tejas Karkhanis, Prabhakar Kudva, Stanley Schuster, James E. Smith, Viji Srinivasan, Victor V. Zyuban, David H. Albonesi, Sandhya Dwarkadas: Early-Stage Definition of LPX: A Low Power Issue-Execute Processor. PACS 2002: 1-17
2001
5EEAlper Buyuktosunoglu, David H. Albonesi, Stanley Schuster, David Brooks, Pradip Bose, Peter W. Cook: A circuit level implementation of an adaptive issue queue for power-aware microprocessors. ACM Great Lakes Symposium on VLSI 2001: 73-78
4 Brian W. Curran, Mary Gifaldi, Jason Martin, Alper Buyuktosunoglu, Martin Margala, David H. Albonesi: Low-Voltage 0, 25 µm CMOS Improved Power Adaptive Issue Queue for Embedded Microprocessors. VLSI-SOC 2001: 289-300
2000
3EERajeev Balasubramonian, David H. Albonesi, Alper Buyuktosunoglu, Sandhya Dwarkadas: Memory hierarchy reconfiguration for energy and performance in general-purpose processor architectures. MICRO 2000: 245-257
2EEAlper Buyuktosunoglu, Stanley Schuster, David Brooks, Pradip Bose, Peter W. Cook, David H. Albonesi: An Adaptive Issue Queue for Reduced Power at High Performance. PACS 2000: 25-39
1EEDavid Brooks, Pradip Bose, Stanley Schuster, Hans M. Jacobson, Prabhakar Kudva, Alper Buyuktosunoglu, John-David Wellman, Victor V. Zyuban, Manish Gupta, Peter W. Cook: Power-Aware Microarchitecture: Design and Modeling Challenges for Next-Generation Microprocessors. IEEE Micro 20(6): 26-44 (2000)

Coauthor Index

1Emrah Acar [19]
2David H. Albonesi [2] [3] [4] [5] [6] [7] [8] [9] [10] [12] [15]
3Rajeev Balasubramonian [3] [8] [9] [10] [11]
4Reinaldo A. Bergamaschi [19] [21]
5Carlos Boneti [20]
6Pradip Bose [1] [2] [5] [6] [7] [10] [12] [13] [16] [17] [18] [19] [21]
7David Brooks [1] [2] [5] [6]
8Francisco J. Cazorla [20]
9Chen-Yong Cher [17] [20]
10Peter W. Cook [1] [2] [5] [6] [7] [10]
11Brian W. Curran [4]
12John A. Darringer [19] [21]
13K. Das [6]
14Nagu R. Dhanwada [19] [21]
15Gero Dittmann [19] [21]
16Steven G. Dropsho (Steve Dropsho) [8] [10]
17Sandhya Dwarkadas [3] [6] [8] [9] [10] [11]
18Rick Eickemeyer [16]
19Lee Eisen [16]
20Philip G. Emma [6]
21Eby G. Friedman [10]
22Mary Gifaldi [4]
23Roberto Gioiosa [20]
24John Griswell [16]
25Michael Gschwind [6]
26Manish Gupta [1]
27Guoling Han [19] [21]
28Zhigang Hu [13] [16] [21]
29Michael C. Huang [10]
30Canturk Isci [14] [17]
31Hans M. Jacobson [1] [6] [13] [16]
32Geert Janssen [19] [21]
33Tejas Karkhanis [6] [12]
34Dorothy Kucar [19]
35Prabhakar Kudva [1] [6]
36Volkan Kursun [10]
37Doug Logan [16]
38Grigorios Magklis [8] [10]
39Martin Margala [4]
40Jason Martin [4]
41Margaret Martonosi [14] [17]
42Indira Nair [19] [21]
43Gi-Joon Nam [19]
44Hiren D. Patel [19] [21]
45Stanley Schuster [1] [2] [5] [6] [7] [10]
46Michael L. Scott [8] [10]
47Greg Semeraro [8] [10]
48Joseph J. Sharkey [18]
49Balaram Sinharoy [16]
50James E. Smith [6]
51Viji Srinivasan [6] [11] [13]
52Joel M. Tendler [16]
53Mateo Valero [20]
54John-David Wellman [1]
55YongKang Zhu [15]
56Victor V. Zyuban [1] [6] [13] [16]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)