dblp.uni-trier.dewww.uni-trier.de

Cristina Silvano

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
51EEOreste Villa, Gianluca Palermo, Cristina Silvano: Efficiency and scalability of barrier synchronization on NoC based many-core architectures. CASES 2008: 81-90
50EELeandro Fiorin, Gianluca Palermo, Cristina Silvano: A security monitoring service for NoCs. CODES+ISSS 2008: 197-202
49EEGianluca Palermo, Cristina Silvano, Vittorio Zaccaria: Robust optimization of SoC architectures: A multi-scenario approach. ESTImedia 2008: 7-12
48EEMartino Sykora, Giovanni Agosta, Cristina Silvano: Dynamic configuration of application-specific implicit instructions for embedded pipelined processors. SAC 2008: 1509-1516
47EEGianluca Palermo, Cristina Silvano, Vittorio Zaccaria: An Efficient Design Space Exploration Methodology for On-Chip Multiprocessors Subject to Application-Specific Constraints. SASP 2008: 75-82
46EELeandro Fiorin, Gianluca Palermo, Slobodan Lukovic, V. Catalano, Cristina Silvano: Secure Memory Accesses on Networks-on-Chip. IEEE Trans. Computers 57(9): 1216-1229 (2008)
2007
45EEGianluca Palermo, Giovanni Mariani, Cristina Silvano, Riccardo Locatelli, Marcello Coppola: Mapping and Topology Customization Approaches for Application-Specific STNoC Designs. ASAP 2007: 61-68
44EELeandro Fiorin, Gianluca Palermo, Slobodan Lukovic, Cristina Silvano: A data protection unit for NoC-based architectures. CODES+ISSS 2007: 167-172
43EELeandro Fiorin, Cristina Silvano, Mariagiovanna Sami: Security Aspects in Networks-on-Chips: Overview and Proposals for Secure Implementations. DSD 2007: 539-542
42EEGianluca Palermo, Cristina Silvano, Giovanni Mariani, Riccardo Locatelli, Marcello Coppola: Application-Specific Topology Design Customization for STNoC. DSD 2007: 547-550
41EEGiovanni Beltrame, Donatella Sciuto, Cristina Silvano: Multi-Accuracy Power and Performance Transaction-Level Modeling. IEEE Trans. on CAD of Integrated Circuits and Systems 26(10): 1830-1842 (2007)
40EEMatteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa: Exploration of distributed shared memory architectures for NoC-based multiprocessors. Journal of Systems Architecture 53(10): 719-732 (2007)
2006
39EEGiovanni Beltrame, Dario Bruschi, Donatella Sciuto, Cristina Silvano: Decision-theoretic exploration of multiProcessor platforms. CODES+ISSS 2006: 205-210
38EEGiovanni Beltrame, Donatella Sciuto, Cristina Silvano, Damien Lyonnard, Chuck Pilkington: Exploiting TLM and object introspection for system-level simulation. DATE 2006: 100-105
37EEMatteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa: Power/performance hardware optimization for synchronization intensive applications in MPSoCs. DATE 2006: 606-611
36EEMatteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa: Exploration of Distributed Shared Memory Architectures for NoC-based Multiprocessors. ICSAMOS 2006: 144-151
35EEGiovanni Beltrame, Donatella Sciuto, Cristina Silvano, Pierre G. Paulin, Essaid Bensoudane: An Application Mapping Methodology and Case Study for Multi-Processor On-Chip Architectures. VLSI-SoC 2006: 146-151
34EEMatteo Monchiero, Gianluca Palermo, Cristina Silvano, Oreste Villa: Efficient Synchronization for Embedded On-Chip Multiprocessors. IEEE Trans. VLSI Syst. 14(10): 1049-1062 (2006)
2005
33EEMatteo Monchiero, Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon: Low-power branch prediction techniques for VLIW architectures: a compiler-hints based approach. Integration 38(3): 515-524 (2005)
32EEGianluca Palermo, Cristina Silvano, Vittorio Zaccaria: Multi-objective design space exploration of embedded systems. J. Embedded Computing 1(3): 305-316 (2005)
2004
31EEMatteo Monchiero, Gianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon: Power-aware branch prediction techniques: a compiler-hints based approach for VLIW processors. ACM Great Lakes Symposium on VLSI 2004: 440-443
30EEGiovanni Beltrame, Gianluca Palermo, Donatella Sciuto, Cristina Silvano: Plug-in of power models in the StepNP exploration platform: analysis of power/performance trade-offs. CASES 2004: 85-92
29EEGianluca Palermo, Cristina Silvano: PIRATE: A Framework for Power/Performance Exploration of Network-on-Chip Architectures. PATMOS 2004: 521-531
28EEGiovanni Agosta, Gianluca Palermo, Cristina Silvano: Multi-objective co-exploration of source code transformations and design space architectures for low-power embedded systems. SAC 2004: 891-896
2003
27EEGianluca Palermo, Mariagiovanna Sami, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon: Branch prediction techniques for low-power VLIW processors. ACM Great Lakes Symposium on VLSI 2003: 225-228
26EEGianluca Palermo, Cristina Silvano, S. Valsecchi, Vittorio Zaccaria: A system-level methodology for fast multi-objective design space exploration. ACM Great Lakes Symposium on VLSI 2003: 92-95
25EEGianluca Palermo, Cristina Silvano, Vittorio Zaccaria: Power-Performance System-Level Exploration of a MicroSPARC2-Based Embedded Architecture. DATE 2003: 20182-20187
24EEGianluca Palermo, Cristina Silvano, Vittorio Zaccaria: A Flexible Framework for Fast Multi-objective Design Space Exploration of Embedded Systems. PATMOS 2003: 249-258
23 Lorenzo Salvemini, Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon: A Methodology for the Efficient Architectural Exploration of Energy-Delay Trade-offs for Embedded Systems. SAC 2003: 672-678
2002
22EEAndrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon: Energy estimation and optimization of embedded VLIW processors based on instruction clustering. DAC 2002: 886-891
21EEAndrea Bona, Mariagiovanna Sami, Donatella Sciuto, Vittorio Zaccaria, Cristina Silvano, Roberto Zafalon: An Instruction-Level Methodology for Power Estimation and Optimization of Embedded VLIW Cores. DATE 2002: 1128
20EEMariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon: Low-power data forwarding for VLIW embedded architectures. IEEE Trans. VLSI Syst. 10(5): 614-622 (2002)
19EEMariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria: An instruction-level energy model for embedded VLIW architectures. IEEE Trans. on CAD of Integrated Circuits and Systems 21(9): 998-1010 (2002)
2001
18EEWilliam Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria: A design framework to efficiently explore energy-delay tradeoffs. CODES 2001: 260-265
17EEMariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria, Roberto Zafalon: Exploiting data forwarding to reduce the power budget of VLIW embedded processors. DATE 2001: 252-257
16EEWilliam Fornaciari, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria: Fast system-level exploration of memory architectures driven by energy-delay metrics. ISCAS (4) 2001: 502-505
2000
15EEWilliam Fornaciari, M. Polentarutti, Donatella Sciuto, Cristina Silvano: Power optimization of system-level address buses based on software profiling. CODES 2000: 29-33
14EEMariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria: Instruction-level power estimation for embedded VLIW cores. CODES 2000: 34-38
13 Mariagiovanna Sami, Donatella Sciuto, Cristina Silvano, Vittorio Zaccaria: Power Exploration for Embedded VLIW Architectures. ICCAD 2000: 498-503
1999
12EEWilliam Fornaciari, Donatella Sciuto, Cristina Silvano: Power estimation for architectural exploration of HW/SW communication on system-level buses. CODES 1999: 152-156
11EEWilliam Fornaciari, Donatella Sciuto, Cristina Silvano: Influence of Caching and Encoding on Power Dissipation of System-Level Buses for Embedded Systems. DATE 1999: 762-763
10EEWilliam Fornaciari, Donatella Sciuto, Cristina Silvano: Power Estimation of System-Level Buses for Microprocessor-Based Architectures: A Case Study. ICCD 1999: 131-
1998
9EELuca Benini, Giovanni De Micheli, Donatella Sciuto, Enrico Macii, Cristina Silvano: Address Bus Encoding Techniques for System-Level Power Optimization. DATE 1998: 861-
8EEDonatella Sciuto, Cristina Silvano, Renato Stefanelli: Systematic AUED Codes for Self-Checking Architectures. DFT 1998: 183-191
7EENicola Dragone, Roberto Zafalon, Carlo Guardiani, Cristina Silvano: Power invariant vector compaction based on bit clustering and temporal partitioning. ISLPED 1998: 118-120
6EEWilliam Fornaciari, P. Gubian, Donatella Sciuto, Cristina Silvano: Power estimation of embedded systems: a hardware/software codesign approach. IEEE Trans. VLSI Syst. 6(2): 266-275 (1998)
5EEFranco Fummi, Donatella Sciuto, Cristina Silvano: Automatic generation of error control codes for computer applications. IEEE Trans. VLSI Syst. 6(3): 502-506 (1998)
1997
4EELuca Benini, Giovanni De Micheli, Enrico Macii, Donatella Sciuto, Cristina Silvano: Asymptotic Zero-Transition Activity Encoding for Address Busses in Low-Power Microprocessor-Based Systems. Great Lakes Symposium on VLSI 1997: 77-82
1995
3 Luca Penzo, Donatella Sciuto, Cristina Silvano: GECO: A Tool for Automatic Generation of Error Control Codes for Computer Applications. ISCAS 1995: 912-915
2EELuca Penzo, Donatella Sciuto, Cristina Silvano: VLSI design of systematic odd-weight-column byte error detecting SEC-DED codes. VLSI Design 1995: 156-160
1 Luca Penzo, Donatella Sciuto, Cristina Silvano: Construction techniques for systematic SEC-DED codes with single byte error detection and partial correction capability for computer memory systems. IEEE Transactions on Information Theory 41(2): 584-591 (1995)

Coauthor Index

1Giovanni Agosta [28] [48]
2Giovanni Beltrame [30] [35] [38] [39] [41]
3Luca Benini [4] [9]
4Essaid Bensoudane [35]
5Andrea Bona [21] [22]
6Dario Bruschi [39]
7V. Catalano [46]
8Marcello Coppola [42] [45]
9Nicola Dragone [7]
10Leandro Fiorin [43] [44] [46] [50]
11William Fornaciari [6] [10] [11] [12] [15] [16] [18]
12Franco Fummi [5]
13Carlo Guardiani [7]
14P. Gubian [6]
15Riccardo Locatelli [42] [45]
16Slobodan Lukovic [44] [46]
17Damien Lyonnard [38]
18Enrico Macii [4] [9]
19Giovanni Mariani [42] [45]
20Giovanni De Micheli [4] [9]
21Matteo Monchiero [31] [33] [34] [36] [37] [40]
22Gianluca Palermo [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [36] [37] [40] [42] [44] [45] [46] [47] [49] [50] [51]
23Pierre G. Paulin [35]
24Luca Penzo [1] [2] [3]
25Chuck Pilkington [38]
26M. Polentarutti [15]
27Lorenzo Salvemini [23]
28Mariagiovanna Sami [13] [14] [17] [19] [20] [21] [22] [23] [27] [31] [33] [43]
29Donatella Sciuto [1] [2] [3] [4] [5] [6] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [30] [35] [38] [39] [41]
30Renato Stefanelli [8]
31Martino Sykora [48]
32S. Valsecchi [26]
33Oreste Villa [34] [36] [37] [40] [51]
34Vittorio Zaccaria [13] [14] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [31] [32] [33] [47] [49]
35Roberto Zafalon [7] [17] [20] [21] [22] [23] [27] [31] [33]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)