dblp.uni-trier.dewww.uni-trier.de

Narendra V. Shenoy

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
35EESambuddha Bhattacharya, Shabbir H. Batterywala, Subramanian Rajagopalan, Hi-Keung Tony Ma, Narendra V. Shenoy: On Efficient and Robust Constraint Generation for Practical Layout Legalization. ISQED 2008: 379-384
34EEShabbir H. Batterywala, Sambuddha Bhattacharya, Subramanian Rajagopalan, Hi-Keung Tony Ma, Narendra V. Shenoy: Cell Swapping Based Migration Methodology for Analog and Custom Layouts. ISQED 2008: 450-455
2007
33EEDebjit Sinha, Jianfeng Luo, Subramanian Rajagopalan, Shabbir H. Batterywala, Narendra V. Shenoy, Hai Zhou: Impact of Modern Process Technologies on the Electrical Parameters of Interconnects. VLSI Design 2007: 875-880
32EEDebjit Sinha, Hai Zhou, Narendra V. Shenoy: Advances in Computation of the Maximum of a Set of Gaussian Random Variables. IEEE Trans. on CAD of Integrated Circuits and Systems 26(8): 1522-1533 (2007)
2006
31EEDebjit Sinha, Hai Zhou, Narendra V. Shenoy: Advances in Computation of the Maximum of a Set of Random Variables. ISQED 2006: 306-311
30EEDebjit Sinha, Narendra V. Shenoy, Hai Zhou: Statistical Timing Yield Optimization by Gate Sizing. IEEE Trans. VLSI Syst. 14(10): 1140-1146 (2006)
2005
29 Debjit Sinha, Narendra V. Shenoy, Hai Zhou: Statistical gate sizing for timing yield optimization. ICCAD 2005: 1037-1041
2004
28EENarendra V. Shenoy, Jamil Kawa, Raul Camposano: Design automation for mask programmable fabrics. DAC 2004: 192-197
27EEShabbir H. Batterywala, Narendra V. Shenoy: Computing Silent Gate Models for Noise Analysis from Slew and Delay Tables. VLSI Design 2004: 989-994
2003
26EEChi-Foon Chan, Deirdre Hanford, Jian Yue Pan, Narendra V. Shenoy, Mahesh Mehendale, A. Vasudevan, Shaojun Wei: Emerging markets: design goes global. DAC 2003: 195
25EEShabbir H. Batterywala, Narendra V. Shenoy: A Method to Estimate Slew and Delay in Coupled Digital Circuits. VLSI Design 2003: 411-416
2002
24EENarendra V. Shenoy, William Nicholls: An efficient routing database. DAC 2002: 590-595
23EEShabbir H. Batterywala, Narendra V. Shenoy, William Nicholls, Hai Zhou: Track assignment: a desirable intermediate step between global routing and detailed routing. ICCAD 2002: 59-66
22EEStan Y. Liao, Narendra V. Shenoy, William Nicholls: An Efficient External-Memory Implementation of Region Query with Application to Area Routing. ICCD 2002: 36-41
21EEHai Zhou, Narendra V. Shenoy, William Nicholls: Efficient minimum spanning tree construction without Delaunay triangulation. Inf. Process. Lett. 81(5): 271-276 (2002)
2001
20EEHai Zhou, Narendra V. Shenoy, William Nicholls: Efficient minimum spanning tree construction without Delaunay triangulation. ASP-DAC 2001: 192-197
19EEHai Zhou, Narendra V. Shenoy, William Nicholls: Timing Analysis with Crosstalk as Fixpoints on Complete Lattice. DAC 2001: 714-719
1999
18EENarendra V. Shenoy, Mahesh A. Iyer, Robert F. Damiano, Kevin Harer, Hi-Keung Tony Ma, Paul Thilking: A Robust Solution to the Timing Convergence Problem in High-Performance Design. ICCD 1999: 250-257
1997
17 Ramsey W. Haddad, Lukas P. P. P. van Ginneken, Narendra V. Shenoy: Discrete Drive Selection for Continuous Sizing. ICCD 1997: 110-115
16EEKurt Keutzer, A. Richard Newton, Narendra V. Shenoy: The future of logic synthesis and physical design in deep-submicron process geometries. ISPD 1997: 218-224
15EENarendra V. Shenoy: Retiming: Theory and practice. Integration 22(1-2): 1-21 (1997)
1996
14EERalph H. J. M. Otten, Lukas P. P. P. van Ginneken, Narendra V. Shenoy: Embedded tutorial: Speed - new paradigms in design for performance. ICCAD 1996: 700
1995
13EEAlexander Saldanha, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Functional clock schedule optimization. VLSI Design 1995: 93-98
1994
12EENarendra V. Shenoy, Richard L. Rudell: Efficient implementation of retiming. ICCAD 1994: 226-233
11EELuciano Lavagno, Narendra V. Shenoy, Alberto L. Sangiovanni-Vincentelli: Linear programming for hazard elimination in asynchronous circuits. VLSI Signal Processing 7(1-2): 137-160 (1994)
1993
10EEMasamichi Kawarabayashi, Narendra V. Shenoy, Alberto L. Sangiovanni-Vincentelli: A Verification Technique for Gated Clock. DAC 1993: 123-127
9EENarendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Resynthesis of Multi-Phase Pipelines. DAC 1993: 490-496
8EENarendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Minimum padding to satisfy short path constraints. ICCAD 1993: 156-161
1992
7EENarendra V. Shenoy, Kanwar Jit Singh, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: On the Temporal Equivalence of Sequential Circuits. DAC 1992: 405-409
6EEThomas G. Szymanski, Narendra V. Shenoy: Verifying clock schedules. ICCAD 1992: 124-131
5EENarendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Graph algorithms for clock schedule optimization. ICCAD 1992: 132-136
1991
4 Rajeev Murgai, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Improved Logic Synthesis Algorithms for Table Look Up Architectures. ICCAD 1991: 564-567
3 Rajeev Murgai, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Performance Directed Synthesis for Table Look Up Programmable Gate Arrays. ICCAD 1991: 572-575
2 Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Retiming of Circuits with Single Phase Transparent Latches. ICCD 1991: 86-89
1990
1EERajeev Murgai, Yoshihito Nishizaki, Narendra V. Shenoy, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Logic Synthesis for Programmable Gate Arrays. DAC 1990: 620-625

Coauthor Index

1Shabbir H. Batterywala [23] [25] [27] [33] [34] [35]
2Sambuddha Bhattacharya [34] [35]
3Robert K. Brayton [1] [2] [3] [4] [5] [7] [8] [9] [13]
4Raul Camposano [28]
5Chi-Foon Chan [26]
6Robert F. Damiano [18]
7Lukas P. P. P. van Ginneken [14] [17]
8Ramsey W. Haddad [17]
9Deirdre Hanford [26]
10Kevin Harer [18]
11Mahesh A. Iyer [18]
12Jamil Kawa [28]
13Masamichi Kawarabayashi [10]
14Kurt Keutzer [16]
15Luciano Lavagno [11]
16Stan Y. Liao [22]
17Jianfeng Luo [33]
18Hi-Keung Tony Ma [18] [34] [35]
19Mahesh Mehendale [26]
20Rajeev Murgai [1] [3] [4]
21A. Richard Newton [16]
22William Nicholls [19] [20] [21] [22] [23] [24]
23Yoshihito Nishizaki [1]
24Ralph H. J. M. Otten [14]
25Jian Yue Pan [26]
26Subramanian Rajagopalan [33] [34] [35]
27Richard L. Rudell [12]
28Alexander Saldanha [13]
29Alberto L. Sangiovanni-Vincentelli [1] [2] [3] [4] [5] [7] [8] [9] [10] [11] [13]
30Kanwar Jit Singh [7]
31Debjit Sinha [29] [30] [31] [32] [33]
32Thomas G. Szymanski [6]
33Paul Thilking [18]
34A. Vasudevan [26]
35Shaojun Wei [26]
36Hai Zhou [19] [20] [21] [23] [29] [30] [31] [32] [33]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)