dblp.uni-trier.dewww.uni-trier.de

Timothy Mark Pinkston

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
53EEJeonghee Shin, Victor V. Zyuban, Pradip Bose, Timothy Mark Pinkston: A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime. ISCA 2008: 353-362
52EEMichihiro Koibuchi, Hiroki Matsutani, Hideharu Amano, Timothy Mark Pinkston: A Lightweight Fault-Tolerant Mechanism for Network-on-Chip. NOCS 2008: 13-22
51EEOlav Lysne, José Miguel Montañana, Jose Flich, José Duato, Timothy Mark Pinkston, Tor Skeie: An Efficient and Deadlock-Free Network Reconfiguration Protocol. IEEE Trans. Computers 57(6): 762-779 (2008)
2007
50EEThomas William Ainsworth, Timothy Mark Pinkston: On Characterizing Performance of the Cell Broadband Engine Element Interconnect Bus. NOCS 2007: 18-29
49EEThomas William Ainsworth, Timothy Mark Pinkston: Characterizing the Cell EIB On-Chip Network. IEEE Micro 27(5): 6-14 (2007)
2006
48EEWai Hong Ho, Timothy Mark Pinkston: A Design Methodology for Efficient Application-Specific On-Chip Interconnects. IEEE Trans. Parallel Distrib. Syst. 17(2): 174-190 (2006)
2005
47EELan Quan, Kyung-Geun Lee, Timothy Mark Pinkston: Performance Analysis of Unstructured Peer-to-Peer Schemes In Integrated Wired and Wireless Network Environments. ICPADS (1) 2005: 419-425
46EELi-Shiuan Peh, Timothy Mark Pinkston: Guest Editorial: Special Section on On-Chip Networks. IEEE Trans. Parallel Distrib. Syst. 16(2): 97-98 (2005)
45EEJosé Duato, Olav Lysne, Ruoming Pang, Timothy Mark Pinkston: Part I: A Theory for Deadlock-Free Dynamic Network Reconfiguration. IEEE Trans. Parallel Distrib. Syst. 16(5): 412-427 (2005)
44EEOlav Lysne, Timothy Mark Pinkston, José Duato: Part II: A Methodology for Developing Deadlock-Free Dynamic Network Reconfiguration Processes. IEEE Trans. Parallel Distrib. Syst. 16(5): 428-443 (2005)
43EEYong Ho Song, Timothy Mark Pinkston: Distributed Resolution of Network Congestion and Potential Deadlock Using Reservation-Based Scheduling. IEEE Trans. Parallel Distrib. Syst. 16(8): 686-701 (2005)
42EETimothy Mark Pinkston, Jeonghee Shin: Trends toward on-chip networked microsystems. IJHPCN 3(1): 3-18 (2005)
2004
41EEOlav Lysne, José Miguel Montañana, Timothy Mark Pinkston, José Duato, Tor Skeie, Jose Flich: Simple Deadlock-Free Dynamic Network Reconfiguration. HiPC 2004: 504-515
40EEYungho Choi, Timothy Mark Pinkston: Evaluation of queue designs for true fully adaptive routers. J. Parallel Distrib. Comput. 64(5): 606-616 (2004)
39EEBilal Zafar, Timothy Mark Pinkston, Aurelio Bermúdez, José Duato: Deadlock-free dynamic reconfiguration over InfiniBandTM NETWORKS. Parallel Algorithms Appl. 19(2-3): 127-143 (2004)
2003
38 Timothy Mark Pinkston, Viktor K. Prasanna: High Performance Computing - HiPC 2003, 10th International Conference, Hyderabad, India, December 17-20, 2003, Proceedings Springer 2003
37EEAurelio Bermúdez, Rafael Casado, Francisco J. Quiles, Timothy Mark Pinkston, José Duato: On the InfiniBand Subnet Discovery Process. CLUSTER 2003: 512-
36EEJosé Duato, Olav Lysne, Timothy Mark Pinkston, Hermann Hellwagner: Topic Introduction. Euro-Par 2003: 929
35EEWai Hong Ho, Timothy Mark Pinkston: A Methodology for Designing Efficient On-Chip Interconnects on Well-Behaved Communication Patterns. HPCA 2003: 377-
34EEAurelio Bermúdez, Rafael Casado, Francisco J. Quiles, Timothy Mark Pinkston, José Duato: Evaluation of a Subnet Management Mechanism for InfiniBand Networks. ICPP 2003: 117-
33EEOlav Lysne, Timothy Mark Pinkston, José Duato: A Methodology for Developing Dynamic Network Reconfiguration Processes. ICPP 2003: 77-86
32 Jeonghee Shin, Timothy Mark Pinkston: The Performance of Routing Algorithms under Bursty Traffic Loads. PDPTA 2003: 737-743
31 Timothy Mark Pinkston, Bilal Zafar, José Duato: A Method for Applying Double Scheme Dynamic Reconfiguration over InfiniBandTM. PDPTA 2003: 793-800
30EETimothy Mark Pinkston, Alan F. Benner, Michael Krause, Irv M. Robinson, Thomas L. Sterling: InfiniBand: The "De Facto" Future Standard for System and Local Area Networks or Just a Scalable Replacement for PCI Buses? Cluster Computing 6(2): 95-104 (2003)
29EEYong Ho Song, Timothy Mark Pinkston: A Progressive Approach to Handling Message-Dependent Deadlock in Parallel Computer Systems. IEEE Trans. Parallel Distrib. Syst. 14(3): 259-275 (2003)
28EETimothy Mark Pinkston, Ruoming Pang, José Duato: Deadlock-Free Dynamic Reconfiguration Schemes for Increased Network Dependability. IEEE Trans. Parallel Distrib. Syst. 14(8): 780-794 (2003)
2002
27EEYong Ho Song, Timothy Mark Pinkston: A New Mechanism for Congestion and Deadlock Resolution. ICPP 2002: 81-
26 Yunho Choi, Timothy Mark Pinkston: Evaluation of Queue Designs for True Fully Adaptive Routers. PDPTA 2002: 1746-1752
25EESugath Warnakulasuriya, Timothy Mark Pinkston: Characterization of Deadlocks in Irregular Networks. J. Parallel Distrib. Comput. 62(1): 61-84 (2002)
2001
24 Yong Ho Song, Timothy Mark Pinkston: Efficient Handling of Message-Dependent Deadlock. IPDPS 2001: 80
23EEJosé Duato, Timothy Mark Pinkston: A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources. IEEE Trans. Parallel Distrib. Syst. 12(12): 1219-1235 (2001)
22EEYungho Choi, Timothy Mark Pinkston: Evaluation of Crossbar Architectures for Deadlock Recovery Routers. J. Parallel Distrib. Comput. 61(1): 49-78 (2001)
2000
21EEYong Ho Song, Timothy Mark Pinkston: On Message.Dependent Deadlocks in Multiprocessor/Multicomputer Systems. HiPC 2000: 345-354
20EERuoming Pang, Timothy Mark Pinkston, José Duato: The Double Scheme: Deadlock-Free Dynamic Reconfiguration of Cut-Through Networks. ICPP 2000: 439-448
19EESugath Warnakulasuriya, Timothy Mark Pinkston: A Formal Model of Message Blocking and Deadlock Resolution in Interconnection Networks. IEEE Trans. Parallel Distrib. Syst. 11(3): 212-229 (2000)
18 Joon-Ho Ha, Timothy Mark Pinkston: A New Token-Based Channel Access Protocol for Wavelength Division Multiplexed Multiprocessor Interconnects. J. Parallel Distrib. Comput. 60(2): 169-188 (2000)
1999
17EESugath Warnakulasuriya, Timothy Mark Pinkston: Characterization of Deadlocks in Irregular Networks. ICPP 1999: 75-
16 Timothy Mark Pinkston: Flexible and Efficient Routing Based on Progressive Deadlock Recovery. IEEE Trans. Computers 48(7): 649-669 (1999)
15EETimothy Mark Pinkston, Sugath Warnakulasuriya: Characterization of Deadlocks in k-ary n-Cube Networks. IEEE Trans. Parallel Distrib. Syst. 10(9): 904-921 (1999)
1998
14 Mongkol Raksapatcharawong, Timothy Mark Pinkston: Modeling Free-Space Optical k-ary n-Cube Wormhole Networks. J. Parallel Distrib. Comput. 55(1): 60-93 (1998)
1997
13EEJuan Miguel Martínez, P. L. López, José Duato, Timothy Mark Pinkston: Software-Based Deadlock Recovery Technique for True Fully Adaptive Routing in Wormhole Networks. ICPP 1997: 182-189
12EEYungho Choi, Timothy Mark Pinkston: Crossbar Analysis for Optimal Deadlock Recovery Router Architecture. IPPS 1997: 583-588
11EESugath Warnakulasuriya, Timothy Mark Pinkston: Characterization of Deadlocks in Interconnection Networks. IPPS 1997: 80-86
10EETimothy Mark Pinkston, Sugath Warnakulasuriya: On Deadlocks in Interconnection Networks. ISCA 1997: 38-49
9EESugath Warnakulasuriya, Timothy Mark Pinkston: Modeling Message Blocking and Deadlock in Interconnection Networks. PCRCW 1997: 275-294
8 Joon-Ho Ha, Timothy Mark Pinkston: SPEED DMON: Cache Coherence on an Optical Multichannel Interconnect Architecture. J. Parallel Distrib. Comput. 41(1): 78-91 (1997)
1996
7 Joon-Ho Ha, Timothy Mark Pinkston: A Hybrid cache Coherence Protocol for a Decoupled Multi-Channel Optical Network: SPEED DMON. ICPP, Vol. 1 1996: 164-171
6EEMongkol Raksapatcharawong, Timothy Mark Pinkston: An Optical Interconnect Model for k-ary n-cube Wormhole Networks. IPPS 1996: 666-672
5EEAnjan K. Venkatramani, Timothy Mark Pinkston, José Duato: Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent. IPPS 1996: 815-821
1995
4EEK. V. Anjan, Timothy Mark Pinkston: DISHA: a deadlock recovery scheme for fully adaptive routing. IPPS 1995: 537-543
3EEK. V. Anjan, Timothy Mark Pinkston: An Efficient, Fully Adaptive Deadlock Recovery Scheme: DISHA. ISCA 1995: 201-210
2EETimothy Mark Pinkston, Uzi Efron, M. Campbell: Applying Optical Interconnects to the 3-D Computer: A Performance Evaluation. J. Parallel Distrib. Comput. 30(1): 23-37 (1995)
1991
1 Timothy Mark Pinkston, Sandra Johnson Baylor: Parallel Processor Memory Reference Analysis: Examining Locality and Clustering Potential. PPSC 1991: 513-518

Coauthor Index

1Thomas William Ainsworth [49] [50]
2Hideharu Amano [52]
3K. V. Anjan [3] [4]
4Sandra Johnson Baylor [1]
5Alan F. Benner [30]
6Aurelio Bermúdez [34] [37] [39]
7Pradip Bose [53]
8M. Campbell [2]
9Rafael Casado [34] [37]
10Yungho Choi [12] [22] [40]
11Yunho Choi [26]
12José Duato [5] [13] [20] [23] [28] [31] [33] [34] [36] [37] [39] [41] [44] [45] [51]
13Uzi Efron [2]
14Jose Flich [41] [51]
15Joon-Ho Ha [7] [8] [18]
16Hermann Hellwagner [36]
17Wai Hong Ho [35] [48]
18Michihiro Koibuchi [52]
19Michael Krause [30]
20Kyung-Geun Lee [47]
21P. L. López [13]
22Olav Lysne [33] [36] [41] [44] [45] [51]
23Juan Miguel Martínez [13]
24Hiroki Matsutani [52]
25José Miguel Montañana [41] [51]
26Ruoming Pang [20] [28] [45]
27Li-Shiuan Peh [46]
28Viktor K. Prasanna (V. K. Prasanna Kumar) [38]
29Lan Quan [47]
30Francisco J. Quiles [34] [37]
31Mongkol Raksapatcharawong [6] [14]
32Irv M. Robinson [30]
33Jeonghee Shin [32] [42] [53]
34Tor Skeie [41] [51]
35Yong Ho Song [21] [24] [27] [29] [43]
36Thomas L. Sterling [30]
37Anjan K. Venkatramani [5]
38Sugath Warnakulasuriya [9] [10] [11] [15] [17] [19] [25]
39Bilal Zafar [31] [39]
40Victor V. Zyuban [53]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)