dblp.uni-trier.dewww.uni-trier.de

Alex Kondratyev

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
64EEJosep Carmona, Jordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexandre Yakovlev: A Symbolic Algorithm for the Synthesis of Bounded Petri Nets. Petri Nets 2008: 92-111
63EECong Liu, Alex Kondratyev, Yosinori Watanabe, Jörg Desel, Alberto L. Sangiovanni-Vincentelli: Schedulability Analysis of Petri Nets Based on Structural Properties. Fundam. Inform. 86(3): 325-341 (2008)
2007
62EEAlexander Taubin, Jordi Cortadella, Luciano Lavagno, Luciano Lavagno, Alex Kondratyev, Ad M. G. Peeters: Design Automation of Real-Life Asynchronous Devices and Systems. Foundations and Trends in Electronic Design Automation 2(1): 1-133 (2007)
2006
61EECong Liu, Alex Kondratyev, Yosinori Watanabe, Alberto L. Sangiovanni-Vincentelli, Jörg Desel: Schedulability Analysis of Petri Nets Based on Structural Properties. ACSD 2006: 69-78
60EEVictor Khomenko, Alex Kondratyev, Maciej Koutny, Walter Vogler: Merged processes: a new condensed representation of Petri net behaviour. Acta Inf. 43(5): 307-330 (2006)
59EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Christos P. Sotiriou: Desynchronization: Synthesis of Asynchronous Circuits From Synchronous Specifications. IEEE Trans. on CAD of Integrated Circuits and Systems 25(10): 1904-1921 (2006)
2005
58EEYinghua Li, Alex Kondratyev, Robert K. Brayton: Gaining Predictability and Noise Immunity in Global Interconnects. ACSD 2005: 176-185
57EEVictor Khomenko, Alex Kondratyev, Maciej Koutny, Walter Vogler: Merged Processes - A New Condensed Representation of Petri Net Behaviour. CONCUR 2005: 338-352
56EECong Liu, Alex Kondratyev, Yosinori Watanabe, Alberto L. Sangiovanni-Vincentelli: A structural approach to quasi-static schedulability analysis of communicating concurrent programs. EMSOFT 2005: 10-16
55 Yinghua Li, Alex Kondratyev, Robert K. Brayton: Synthesis methodology for built-in at-speed testing. ICCAD 2005: 183-188
54EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Claudio Passerone, Yosinori Watanabe: Quasi-static scheduling of independent tasks for reactive systems. IEEE Trans. on CAD of Integrated Circuits and Systems 24(10): 1492-1514 (2005)
53EEYajun Ran, Alex Kondratyev, Kenneth H. Tseng, Yosinori Watanabe, Malgorzata Marek-Sadowska: Eliminating false positives in crosstalk noise analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 24(9): 1406-1419 (2005)
52EEGianpiero Cabodi, Alex Kondratyev, Luciano Lavagno, Sergio Nocco, Stefano Quer, Yosinori Watanabe: A BMC-based formulation for the scheduling problem of hardware systems. STTT 7(2): 102-117 (2005)
2004
51EEIvan Blunno, Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Kelvin Lwin, Christos P. Sotiriou: Handshake Protocols for De-Synchronization. ASYNC 2004: 149-158
50EEAbhijit Davare, Kelvin Lwin, Alex Kondratyev, Alberto L. Sangiovanni-Vincentelli: The best of both worlds: the efficient asynchronous implementation of synchronous specifications. DAC 2004: 588-591
49EEYajun Ran, Alex Kondratyev, Yosinori Watanabe, Malgorzata Marek-Sadowska: Eliminating False Positives in Crosstalk Noise Analysis. DATE 2004: 1192-1197
48EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Kelvin Lwin, Christos P. Sotiriou: From Synchronous to Asynchronous: An Automatic Approach. DATE 2004: 1368-1369
47EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Christos P. Sotiriou: Coping with The Variability of Combinational Logic Delays. ICCD 2004: 505-508
46EEPeter A. Beerel, Jordi Cortadella, Alex Kondratyev: Bridging the Gap between Asynchronous Design and Designers. VLSI Design 2004: 18-20
45EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Alexander Taubin, Yosinori Watanabe: Quasi-static Scheduling for Concurrent Architectures. Fundam. Inform. 62(2): 171-196 (2004)
2003
44EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Yosinori Watanabe: Quasi-Static Scheduling for Concurrent Architectures. ACSD 2003: 29-40
43EEBo Hu, Yosinori Watanabe, Alex Kondratyev, Malgorzata Marek-Sadowska: Gain-based technology mapping for discrete-size cell libraries. DAC 2003: 574-579
42EEDonald Chai, Alex Kondratyev, Yajun Ran, Kenneth H. Tseng, Yosinori Watanabe, Malgorzata Marek-Sadowska: Temporofunctional crosstalk noise analysis. DAC 2003: 860-863
41EEAntonio G. Lomeña, Marisa Luisa López-Vallejo, Yosinori Watanabe, Alex Kondratyev: An Efficient Hash Table Based Approach to Avoid State Space Explosion in History Driven Quasi-Static Scheduling. DATE 2003: 10428-10435
40EEGianpiero Cabodi, Sergio Nocco, Stefano Quer, Alex Kondratyev, Luciano Lavagno, Yosinori Watanabe: A BMC-formulation for the scheduling problem in highly constrained hardware Systems. Electr. Notes Theor. Comput. Sci. 89(4): (2003)
2002
39EEAlex Kondratyev, Oriol Roig, Lawrence Neukom, Karl Fant, Alexander Taubin: Checking Delay-Insensitivity: 104 Gates and Beyond. ASYNC 2002: 149-
38EEAmy Streich, Alex Kondratyev, Lief Sorensen: Testing of Asynchronous Designs by "Inappropriate" Means: Synchronous Approach. ASYNC 2002: 171-180
37EEAlex Kondratyev, Kelvin Lwin: Design of asynchronous circuits by synchronous CAD tools. DAC 2002: 411-414
36EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Claudio Passerone, Yosinori Watanabe: Quasi-Static Scheduling of Independent Tasksfor Reactive Systems. ICATPN 2002: 80-100
35EEHiroshi Saito, Alex Kondratyev, Takashi Nanya: Design of Asynchronous Controllers with Delay Insensitive Interface. VLSI Design 2002: 93-98
34EEAlex Kondratyev, Kelvin Lwin: Design of Asynchronous Circuits Using Synchronous CAD Tools. IEEE Design & Test of Computers 19(4): 107-117 (2002)
33EEJordi Cortadella, Michael Kishinevsky, Steven M. Burns, Alex Kondratyev, Luciano Lavagno, Ken S. Stevens, Alexander Taubin, Alexandre Yakovlev: Lazy transition systems and asynchronous circuit synthesis withrelative timing assumptions. IEEE Trans. on CAD of Integrated Circuits and Systems 21(2): 109-130 (2002)
2000
32 Michiel M. Ligthart, Karl Fant, Ross Smith, Alexander Taubin, Alex Kondratyev: Asynchronous Design Using Commercial HDL Synthesis Tools. ASYNC 2000: 114-
31EEMarco A. Peña, Jordi Cortadella, Enric Pastor, Alex Kondratyev: Formal Verification of Safety Properties in Timed Circuits. ASYNC 2000: 2-11
30EEJordi Cortadella, Alex Kondratyev, Luciano Lavagno, Marc Massot, Sandra Moral, Claudio Passerone, Yosinori Watanabe, Alberto L. Sangiovanni-Vincentelli: Task generation and compile-time scheduling for mixed data-control embedded software. DAC 2000: 489-494
29EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexandre Yakovlev: Hardware and Petri Nets: Application to Asynchronous Circuit Design. ICATPN 2000: 1-15
1999
28EEAlexander Taubin, Alex Kondratyev, Jordi Cortadella, Luciano Lavagno: Behavioral Transformations to Increase Noise Immunity in Asynchronous Specifications. ASYNC 1999: 36-
27EEAlex Kondratyev, Jordi Cortadella, Michael Kishinevsky, Luciano Lavagno, Alexandre Yakovlev: Automatic Synthesis and Optimization of Partially Specified Asynchronous Systems. DAC 1999: 110-115
26EEHiroshi Saito, Alex Kondratyev, Jordi Cortadella, Luciano Lavagno, Alexandre Yakovlev: What is the cost of delay insensitivity? ICCAD 1999: 316-323
25EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Enric Pastor, Alexandre Yakovlev: Decomposition and technology mapping of speed-independent circuits using Boolean relations. IEEE Trans. on CAD of Integrated Circuits and Systems 18(9): 1221-1236 (1999)
1998
24EEAlex Kondratyev, Jordi Cortadella, Michael Kishinevsky, Luciano Lavagno, Alexander Taubin, Alexandre Yakovlev: Identifying State Coding Conflicts in Asynchronous System Specifications Using Petri Net Unfoldings. ACSD 1998: 152-
23EEMichael Kishinevsky, Jordi Cortadella, Alex Kondratyev: Asynchronous Interface Specification, Analysis and Synthesis. DAC 1998: 2-7
22EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Taubin, Alexandre Yakovlev: Lazy transition systems: application to timing optimization of asynchronous circuits. ICCAD 1998: 324-331
21 Alex Kondratyev, Michael Kishinevsky, Alexander Taubin, Sergei Ten: Analysis of Petri Nets by Ordering Relations in Reduced Unfoldings. Formal Methods in System Design 12(1): 5-38 (1998)
20EEEnric Pastor, Jordi Cortadella, Alex Kondratyev, Oriol Roig: Structural methods for the synthesis of speed-independent circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 17(11): 1108-1129 (1998)
19EEMichael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin: Partial-scan delay fault testing of asynchronous circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 17(11): 1184-1199 (1998)
18EEAlex Kondratyev, Michael Kishinevsky, Alexandre Yakovlev: Hazard-free implementation of speed-independent circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 17(9): 749-771 (1998)
17EEAlex Kondratyev, Michael Kishinevsky, Alexander Taubin, Jordi Cortadella, Luciano Lavagno: The Use of Petri Nets for the Design and Verification of Asynchronous Circuits and Systems. Journal of Circuits, Systems, and Computers 8(1): 67-118 (1998)
1997
16EEAlex Kondratyev, Michael Kishinevsky, Jordi Cortadella, Luciano Lavagno, Alexandre Yakovlev: Technology Mapping for Speed-Independent Circuits: Decomposition and Resynthesis. ASYNC 1997: 240-253
15EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexandre Yakovlev: Technology mapping of speed-independent circuits based on combinational decomposition and resynthesis. ED&TC 1997: 98-105
14 Michael Kishinevsky, Jordi Cortadella, Alex Kondratyev, Luciano Lavagno, Alexander Taubin, Alexandre Yakovlev: Coupling Asynchrony and Interrupts: Place Chart Nets. ICATPN 1997: 328-347
13EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Enric Pastor, Alexandre Yakovlev: Decomposition and technology mapping of speed-independent circuits using Boolean relations. ICCAD 1997: 220-227
12EEMichael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexander Saldanha, Alexander Taubin: Partial scan delay fault testing of asynchronous circuits. ICCAD 1997: 728-735
11EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexandre Yakovlev: A region-based theory for state assignment in speed-independent circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 16(8): 793-812 (1997)
1996
10 Alex Kondratyev, Michael Kishinevsky, Alexander Taubin, Sergei Ten: A Structural Approach for the Analysis of Petri Nets by Reduced Unfoldings. Application and Theory of Petri Nets 1996: 346-365
9EEJordi Cortadella, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Alexandre Yakovlev: Methodology and Tools for State Encoding in Asynchronous Circuit Synthesis. DAC 1996: 63-66
8 Alexandre Yakovlev, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno, Marta Pietkiewicz-Koutny: On the Models for Asynchronous Circuit Behaviour with OR Causality. Formal Methods in System Design 9(3): 189-233 (1996)
1995
7EEAlex Kondratyev, Michael Kishinevsky, Alexandre Yakovlev: On hazard-free implementation of speed-independent circuits. ASP-DAC 1995
1994
6 Alexandre Yakovlev, Michael Kishinevsky, Alex Kondratyev, Luciano Lavagno: OR Causality: Modelling and Hardware Implementation. Application and Theory of Petri Nets 1994: 568-587
5EEAlex Kondratyev, Michael Kishinevsky, Bill Lin, Peter Vanbekbergen, Alexandre Yakovlev: Basic Gate Implementation of Speed-Independent Circuits. DAC 1994: 56-62
4 Michael Kishinevsky, Alex Kondratyev, Alexander Taubin, Victor Varshavsky: Analysis and Identification of Speed-Independent Circuits on an Event Model. Formal Methods in System Design 4(1): 33-75 (1994)
3EEMichael Kishinevsky, Alex Kondratyev, Alexander Taubin: Specification and analysis of self-timed circuits. VLSI Signal Processing 7(1-2): 117-135 (1994)
1992
2 Michael Kishinevsky, Alex Kondratyev, Alexander Taubin, Victor Varshavsky: Analysis and Identification of Self-Timed Circuits. Designing Correct Circuits 1992: 275-287
1988
1 Alex Kondratyev, Leonid Ya. Rosenblum, Alexandre Yakovlev: Signal Graphs: A Model for Designing Concurrent Logic. ICPP (1) 1988: 51-54

Coauthor Index

1Peter A. Beerel [46]
2Ivan Blunno [51]
3Robert K. Brayton [55] [58]
4Steven M. Burns [33]
5Gianpiero Cabodi [40] [52]
6Josep Carmona [64]
7Donald Chai [42]
8Jordi Cortadella [9] [11] [13] [14] [15] [16] [17] [20] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [33] [36] [44] [45] [46] [47] [48] [51] [54] [59] [62] [64]
9Abhijit Davare [50]
10Jörg Desel [61] [63]
11Karl Fant [32] [39]
12Bo Hu [43]
13Victor Khomenko [57] [60]
14Michael Kishinevsky [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [21] [22] [23] [24] [25] [27] [29] [33] [64]
15Maciej Koutny [57] [60]
16Luciano Lavagno [6] [8] [9] [11] [12] [13] [14] [15] [16] [17] [19] [22] [24] [25] [26] [27] [28] [29] [30] [33] [36] [40] [44] [45] [47] [48] [51] [52] [54] [59] [62] [64]
17Yinghua Li [55] [58]
18Michiel M. Ligthart [32]
19Bill Lin [5]
20Cong Liu [56] [61] [63]
21Antonio G. Lomeña [41]
22Marisa Luisa López-Vallejo [41]
23Kelvin Lwin [34] [37] [48] [50] [51]
24Malgorzata Marek-Sadowska [42] [43] [49] [53]
25Marc Massot [30]
26Sandra Moral [30]
27Takashi Nanya [35]
28Lawrence Neukom [39]
29Sergio Nocco [40] [52]
30Claudio Passerone [30] [36] [54]
31Enric Pastor [13] [20] [25] [31]
32Ad M. G. Peeters [62]
33Marco A. Peña [31]
34Marta Pietkiewicz-Koutny [8]
35Stefano Quer [40] [52]
36Yajun Ran [42] [49] [53]
37Oriol Roig [20] [39]
38Leonid Ya. Rosenblum [1]
39Hiroshi Saito [26] [35]
40Alexander Saldanha [12] [19]
41Alberto L. Sangiovanni-Vincentelli [30] [50] [56] [61] [63]
42Ross Smith [32]
43Lief Sorensen [38]
44Christos P. Sotiriou [47] [48] [51] [59]
45Ken S. Stevens [33]
46Amy Streich [38]
47Alexander Taubin [2] [3] [4] [10] [12] [14] [17] [19] [21] [22] [24] [28] [32] [33] [39] [45] [62]
48Sergei Ten [10] [21]
49Kenneth H. Tseng [42] [53]
50Peter Vanbekbergen [5]
51Victor Varshavsky [2] [4]
52Walter Vogler [57] [60]
53Yosinori Watanabe [30] [36] [40] [41] [42] [43] [44] [45] [49] [52] [53] [54] [56] [61] [63]
54Alexandre Yakovlev [1] [5] [6] [7] [8] [9] [11] [13] [14] [15] [16] [18] [22] [24] [25] [26] [27] [29] [33] [64]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)