dblp.uni-trier.dewww.uni-trier.de

Xiaojian Yang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2006
18EETaraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi, Maogang Wang, Majid Sarrafzadeh: Dragon2006: blockage-aware congestion-controlling mixed-size placer. ISPD 2006: 209-211
2005
17EETaraneh Taghavi, Xiaojian Yang, Bo-Kyung Choi: Dragon2005: large-scale mixed-size placement tool. ISPD 2005: 245-247
2004
16EEElaheh Bozorgzadeh, Seda Ogrenci Memik, Xiaojian Yang, Majid Sarrafzadeh: Routability-Driven Packing: Metrics And Algorithms For Cluster-Based FPGAs. Journal of Circuits, Systems, and Computers 13(1): 77-100 (2004)
2003
15EEXiaojian Yang, Maogang Wang, Ryan Kastner, Soheil Ghiasi, Majid Sarrafzadeh: Congestion reduction during placement with provably good approximation bound. ACM Trans. Design Autom. Electr. Syst. 8(3): 316-333 (2003)
14EEXiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh: Routability-driven white space allocation for fixed-die standard-cell placement. IEEE Trans. on CAD of Integrated Circuits and Systems 22(4): 410-419 (2003)
2002
13EEXiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh: Timing-driven placement using design hierarchy guided constraint generation. ICCAD 2002: 177-180
12EEXiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh: A Standard-Cell Placement Tool for Designs with High Row Utilization. ICCD 2002: 45-
11EEXiaojian Yang, Bo-Kyung Choi, Majid Sarrafzadeh: Routability driven white space allocation for fixed-die standard-cell placement. ISPD 2002: 42-47
10EEXiaojian Yang, Ryan Kastner, Majid Sarrafzadeh: Congestion estimation during top-down placement. IEEE Trans. on CAD of Integrated Circuits and Systems 21(1): 72-80 (2002)
9EEChunhong Chen, Xiaojian Yang, Majid Sarrafzadeh: Predicting potential performance for digital circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 21(3): 253-262 (2002)
2001
8EEXiaojian Yang, Ryan Kastner, Majid Sarrafzadeh: Congestion Reduction During Placement Based on Integer Programming. ICCAD 2001: 573-576
7EEXiaojian Yang, Ryan Kastner, Majid Sarrafzadeh: Congestion estimation during top-down placement. ISPD 2001: 164-169
6EEXiaojian Yang, Elaheh Bozorgzadeh, Majid Sarrafzadeh: Wirelength estimation based on rent exponents of partitioning and placement. SLIP 2001: 25-31
2000
5 Chunhong Chen, Xiaojian Yang, Majid Sarrafzadeh: Potential Slack: An Effective Metric of Combinational Circuit Performance. ICCAD 2000: 198-201
4 Maogang Wang, Xiaojian Yang, Majid Sarrafzadeh: DRAGON2000: Standard-Cell Placement Tool for Large Industry Circuits. ICCAD 2000: 260-263
3EEMaogang Wang, Xiaojian Yang, Kenneth Eguro, Majid Sarrafzadeh: Multi-center congestion estimation and minimization during placement. ISPD 2000: 147-152
2EEXiaojian Yang, Maogang Wang, Kenneth Eguro, Majid Sarrafzadeh: A snap-on placement tool. ISPD 2000: 153-158
1EEMaogang Wang, Xiaojian Yang, Majid Sarrafzadeh: Congestion minimization during placement. IEEE Trans. on CAD of Integrated Circuits and Systems 19(10): 1140-1148 (2000)

Coauthor Index

1Elaheh Bozorgzadeh (Eli Bozorgzadeh) [6] [16]
2Chunhong Chen [5] [9]
3Bo-Kyung Choi [11] [12] [13] [14] [17] [18]
4Kenneth Eguro [2] [3]
5Soheil Ghiasi [15]
6Ryan Kastner [7] [8] [10] [15]
7Seda Ogrenci Memik (Seda Ogrenci) [16]
8Majid Sarrafzadeh [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [18]
9Taraneh Taghavi [17] [18]
10Maogang Wang [1] [2] [3] [4] [15] [18]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)