dblp.uni-trier.dewww.uni-trier.de

Angela Krstic

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2004
34EET. M. Mak, Angela Krstic, Kwang-Ting (Tim) Cheng, Li-C. Wang: New Challenges in Delay Testing of Nanometer, Multigigahertz Designs. IEEE Design & Test of Computers 21(3): 241-247 (2004)
2003
33EEAngela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, T. M. Mak: Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. DAC 2003: 668-673
32EEAngela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, Magdy S. Abadir: Delay Defect Diagnosis Based Upon Statistical Timing Models - The First Step. DATE 2003: 10328-10335
31EEAngela Krstic, Jing-Jia Liou, Kwang-Ting Cheng, Li-C. Wang: On Structural vs. Functional Testing for Delay Faults. ISQED 2003: 438-441
30EELi-C. Wang, Angela Krstic, Leonard Lee, Kwang-Ting Cheng, M. Ray Mercer, Thomas W. Williams, Magdy S. Abadir: Using Logic Models To Predict The Detection Behavior Of Statistical Timing Defects. ITC 2003: 1041-1050
29EEXiaoliang Bai, Sujit Dey, Angela Krstic: HyAC: A Hybrid Structural SAT Based ATPG for Crosstalk. ITC 2003: 112-121
28EEAngela Krstic, Li-C. Wang, Kwang-Ting Cheng, T. M. Mak: Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies. ITC 2003: 339-348
27EEAngela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou: Diagnosis of Delay Defects Using Statistical Timing Models. VTS 2003: 339-344
26EEJing-Jia Liou, Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng: Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices. IEEE Trans. on CAD of Integrated Circuits and Systems 22(6): 756-769 (2003)
2002
25EEAngela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey: Embedded software-based self-testing for SoC design. DAC 2002: 355-360
24EEJing-Jia Liou, Angela Krstic, Li-C. Wang, Kwang-Ting Cheng: False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation. DAC 2002: 566-569
23EEAngela Krstic, Wei-Cheng Lai, Kwang-Ting Cheng, Li Chen, Sujit Dey: Embedded Software-Based Self-Test for Programmable Core-Based Designs. IEEE Design & Test of Computers 19(4): 18-27 (2002)
2001
22EEJing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, Angela Krstic: Fast Statistical Timing Analysis By Probabilistic Event Propagation. DAC 2001: 661-666
21 Angela Krstic, Jing-Jia Liou, Yi-Min Jiang, Kwang-Ting Cheng: Delay testing considering crosstalk-induced effects. ITC 2001: 558-567
20EEAngela Krstic, Yi-Min Jiang, Kwang-Ting Cheng: Pattern generation for delay testing and dynamic timing analysisconsidering power-supply noise effects. IEEE Trans. on CAD of Integrated Circuits and Systems 20(3): 416-425 (2001)
2000
19EEJing-Jia Liou, Angela Krstic, Kwang-Ting Cheng, Deb Aditya Mukherjee, Sandip Kundu: Performance sensitivity analysis using statistical method and its applications to delay. ASP-DAC 2000: 587-592
18 Jing-Jia Liou, Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng: Path Selection and Pattern Generation for Dynamic Timing Analysis Considering Power Supply Noise Effects. ICCAD 2000: 493-496
17EEYi-Min Jiang, Angela Krstic, Kwang-Ting Cheng: Dynamic Timing Analysis Considering Power Supply Noise Effects. ISQED 2000: 137-144
16 Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng: Test program synthesis for path delay faults in microprocessor cores. ITC 2000: 1080-1089
15EEWei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng: On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set. VTS 2000: 15-22
14EEWei-Cheng Lai, Angela Krstic, Kwang-Ting (Tim) Cheng: Functionally Testable Path Delay Faults on a Microprocessor. IEEE Design & Test of Computers 17(4): 6-14 (2000)
13EEYi-Min Jiang, Angela Krstic, Kwang-Ting Cheng: Estimation for maximum instantaneous current through supply lines for CMOS circuits. IEEE Trans. VLSI Syst. 8(1): 61-73 (2000)
12EEAngela Krstic, Srimat T. Chakradhar, Kwang-Ting Cheng: Testable Path Delay Fault Cover for Sequential Circuits. J. Inf. Sci. Eng. 16(5): 673-686 (2000)
1999
11 Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng: Delay testing considering power supply noise effects. ITC 1999: 181-190
10EEAngela Krstic, Kwang-Ting (Tim) Cheng, Srimat T. Chakradhar: Testing High Speed VLSI Devices Using Slower Testers. VTS 1999: 16-21
9 Kwang-Ting Cheng, Angela Krstic: Current Directions in Automatic Test-Pattern Generation. IEEE Computer 32(11): 58-64 (1999)
8EEAngela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar: Primitive delay faults: identification, testing, and design for testability. IEEE Trans. on CAD of Integrated Circuits and Systems 18(6): 669-684 (1999)
1997
7EEAngela Krstic, Kwang-Ting Cheng: Vector Generation for Maximum Instantaneous Current Through Supply Lines for CMOS Circuits. DAC 1997: 383-388
6EEYi-Min Jiang, Angela Krstic, Kwang-Ting Cheng, Malgorzata Marek-Sadowska: Post-Layout Logic Restructuring for Performance Optimization. DAC 1997: 662-665
5 Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar: Design for Primitive Delay Fault Testability. ITC 1997: 436-445
4EEAngela Krstic, Kwang-Ting Cheng: Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability. J. Electronic Testing 11(1): 43-54 (1997)
1996
3 Angela Krstic, Kwang-Ting Cheng, Srimat T. Chakradhar: Identification and Test Generation for Primitive Faults. ITC 1996: 423-432
2 Kwang-Ting Cheng, Angela Krstic, Hsi-Chuan Chen: Generation of High Quality Tests for Robustly Untestable Path Delay Faults. IEEE Trans. Computers 45(12): 1379-1392 (1996)
1995
1EEAngela Krstic, Kwang-Ting Cheng: Generation of high quality tests for functional sensitizable paths. VTS 1995: 374-379

Coauthor Index

1Magdy S. Abadir [30] [32]
2Xiaoliang Bai [29]
3Srimat T. Chakradhar [3] [5] [8] [10] [12]
4Hsi-Chuan Chen [2]
5Li Chen [23] [25]
6Kwang-Ting Cheng (Kwang-Ting (Tim) Cheng) [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [30] [31] [32] [33] [34]
7Sujit Dey [23] [25] [29]
8Yi-Min Jiang [6] [11] [13] [17] [18] [20] [21] [26]
9Sandip Kundu [19] [22]
10Wei-Cheng Lai [14] [15] [16] [23] [25]
11Leonard Lee [30]
12Jing-Jia Liou [18] [19] [21] [22] [24] [26] [27] [31] [32] [33]
13T. M. Mak [28] [33] [34]
14Malgorzata Marek-Sadowska [6]
15M. Ray Mercer [30]
16Deb Aditya Mukherjee [19]
17Li-C. Wang [24] [27] [28] [30] [31] [32] [33] [34]
18Thomas W. Williams [30]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)