dblp.uni-trier.dewww.uni-trier.de

Steven J. E. Wilton

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
54EEAlastair M. Smith, Steven J. E. Wilton, Joydip Das: Wirelength modeling for homogeneous and heterogeneous FPGA architectural development. FPGA 2009: 181-190
53EEScott Y. L. Chin, Steven J. E. Wilton: Static and Dynamic Memory Footprint Reduction for FPGA Routing Algorithms. TRETS 1(4): (2009)
2008
52EEAndrew Lam, Steven J. E. Wilton, Philip Heng Wai Leong, Wayne Luk: An analytical model describing the relationships between logic architecture and FPGA density. FPL 2008: 221-226
51EEChun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton: Rapid estimation of power consumption for hybrid FPGAs. FPL 2008: 227-232
50EEJulien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton: GlitchLess: Dynamic Power Minimization in FPGAs Through Edge Alignment and Glitch Filtering. IEEE Trans. VLSI Syst. 16(11): 1521-1534 (2008)
49EEBradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton: Practical Asynchronous Interconnect Network Design. IEEE Trans. VLSI Syst. 16(5): 579-588 (2008)
48EESteven J. E. Wilton, Chun Hok Ho, Bradley R. Quinton, Philip Heng Wai Leong, Wayne Luk: A Synthesizable Datapath-Oriented Embedded FPGA Fabric for Silicon Debug Applications. TRETS 1(1): (2008)
47EEJulien Lamoureux, Steven J. E. Wilton: On the trade-off between power and flexibility of FPGA clock networks. TRETS 1(3): (2008)
2007
46EEJulien Lamoureux, Guy G. Lemieux, Steven J. E. Wilton: GlitchLess: an active glitch minimization technique for FPGAs. FPGA 2007: 156-165
45EESteven J. E. Wilton, Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Bradley R. Quinton: A synthesizable datapath-oriented embedded FPGA fabric. FPGA 2007: 33-41
44EEJulien Lamoureux, Steven J. E. Wilton: Clock-Aware Placement for FPGAs. FPL 2007: 124-131
43EEChun Hok Ho, Chi Wai Yu, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton: Domain-Specific Hybrid FPGA: Architecture and Floating Point Applications. FPL 2007: 196-201
42EEBradley R. Quinton, Steven J. E. Wilton: Embedded Programmable Logic Core Enhancements for System Bus Interfaces. FPL 2007: 202-209
2006
41 Steven J. E. Wilton, André DeHon: Proceedings of the ACM/SIGDA 14th International Symposium on Field Programmable Gate Arrays, FPGA 2006, Monterey, California, USA, February 22-24, 2006 ACM 2006
40EEChun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton, Sergio López-Buedo: Virtual Embedded Blocks: A Methodology for Evaluating Embedded Elements in FPGAs. FCCM 2006: 35-44
39EEJulien Lamoureux, Steven J. E. Wilton: FPGA clock network architecture: flexibility vs. area and power. FPGA 2006: 101-108
38EEJulien Lamoureux, Steven J. E. Wilton: Architecture and CAD for FPGA Clock Networks. FPL 2006: 1-2
37EEJulien Lamoureux, Steven J. E. Wilton: Activity Estimation for Field-Programmable Gate Arrays. FPL 2006: 1-8
36EEScott Y. L. Chin, Clarence S. P. Lee, Steven J. E. Wilton: Power Implications of Implementing Logic Using FPGA Embedded Memory Arrays. FPL 2006: 1-8
35EEAndy Yan, Steven J. E. Wilton: Product-Term-Based Synthesizable Embedded Programmable Logic Cores. IEEE Trans. VLSI Syst. 14(5): 474-488 (2006)
2005
34 Herman Schmit, Steven J. E. Wilton: Proceedings of the ACM/SIGDA 13th International Symposium on Field Programmable Gate Arrays, FPGA 2005, Monterey, California, USA, February 20-22, 2005 ACM 2005
33 Tero Rissa, Steven J. E. Wilton, Philip Heng Wai Leong: Proceedings of the 2005 International Conference on Field Programmable Logic and Applications (FPL), Tampere, Finland, August 24-26, 2005 IEEE 2005
32EEZion Kwok, Steven J. E. Wilton: Register File Architecture Optimization in a Coarse-Grained Reconfigurable Architecture. FCCM 2005: 35-44
31 C. T. Chow, L. S. M. Tsui, Philip Heng Wai Leong, Wayne Luk, Steven J. E. Wilton: Dynamic Voltage Scaling for Commercial FPGAs. FPT 2005: 173-180
30 Bradley R. Quinton, Steven J. E. Wilton: Post-Silicon Debug Using Programmable Logic Cores. FPT 2005: 241-248
29EEBradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton: Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow. ICCD 2005: 267-274
28EEBradley R. Quinton, Steven J. E. Wilton: Concentrator access networks for programmable logic cores on SoCs. ISCAS (1) 2005: 45-48
27EELei He, Mike Hutton, Tim Tuan, Steven J. E. Wilton: Challenges and opportunities for low power FPGAs in nanometer technologies. ISLPED 2005: 90
26EEKara K. W. Poon, Steven J. E. Wilton, Andy Yan: A detailed power model for field-programmable gate arrays. ACM Trans. Design Autom. Electr. Syst. 10(2): 279-302 (2005)
25EEPeter Hallschmid, Steven J. E. Wilton: Routing architecture optimizations for high-density embedded programmable IP cores. IEEE Trans. VLSI Syst. 13(11): 1320-1324 (2005)
24EES. W. Oldridge, Steven J. E. Wilton: A novel FPGA architecture supporting wide, shallow memories. IEEE Trans. VLSI Syst. 13(6): 758-762 (2005)
23EEJulien Lamoureux, Steven J. E. Wilton: On the Interaction between Power-Aware Computer-Aided Design Algorithms for Field-Programmable Gate Arrays. J. Low Power Electronics 1(2): 119-132 (2005)
2004
22EESteven J. E. Wilton, Su-Shin Ang, Wayne Luk: The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arrays. FPL 2004: 719-728
21 Steven J. E. Wilton, Christopher W. Jones, Julien Lamoureux: An embedded flexible content-addressable memory core for inclusion in a Field-Programmable Gate Array. ISCAS (2) 2004: 885-888
2003
20EENoha Kafafi, Kimberly Bozman, Steven J. E. Wilton: Architectures and algorithms for synthesizable embedded programmable logic cores. FPGA 2003: 3-11
19EEJulien Lamoureux, Steven J. E. Wilton: On the Interaction Between Power-Aware FPGA CAD Algorithms. ICCAD 2003: 701-708
2002
18EEAndy Yan, Rebecca Cheng, Steven J. E. Wilton: On the sensitivity of FPGA architectural conclusions to experimental assumptions, tools, and techniques. FPGA 2002: 147-156
17EEKara K. W. Poon, Andy Yan, Steven J. E. Wilton: A Flexible Power Model for FPGAs. FPL 2002: 312-321
2001
16EESteven J. E. Wilton: A crosstalk-aware timing-driven router for FPGAs. FPGA 2001: 21-28
15EEPeter Hallschmid, Steven J. E. Wilton: Detailed routing architectures for embedded programmable logic IP cores. FPGA 2001: 69-74
14EEErnie Lin, Steven J. E. Wilton: Macrocell Architectures for Product Term Embedded Memory Arrays. FPL 2001: 48-58
13EESteven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic: Structural analysis and generation of synthetic digital circuits with memory. IEEE Trans. VLSI Syst. 9(1): 223-226 (2001)
2000
12EESteven J. E. Wilton: Heterogeneous technology mapping for FPGAs with dual-port embedded memory arrays. FPGA 2000: 67-74
11EEWinnie W. Cheng, Steven J. E. Wilton, Babak Hamidzadeh: FPGA Implementation of a Prototype WDM On-Line Scheduler. FPL 2000: 773-776
10EESteven J. E. Wilton: Heterogeneous technology mapping for area reduction in FPGAs withembedded memory arrays. IEEE Trans. on CAD of Integrated Circuits and Systems 19(1): 56-68 (2000)
1999
9 William K. C. Ho, Steven J. E. Wilton: Logical-to-Physical Memory Mapping for FPGAs with Dual-Port Embedded Arrays. FPL 1999: 111-123
8 M. Imran Masud, Steven J. E. Wilton: A New Switch Block for Segmented FPGAs. FPL 1999: 274-281
7EESteven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic: The memory/logic interface in FPGAs with large embedded memory arrays. IEEE Trans. VLSI Syst. 7(1): 80-91 (1999)
1998
6EESteven J. E. Wilton: SMAP: Heterogeneous Technology Mapping for Area Reduction in FPGAs with Embedded Memory Arrays. FPGA 1998: 171-178
1997
5EESteven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic: Memory-to-Memory Connection Structures in FPGAs with Embedded Memory Arrays. FPGA 1997: 10-16
1995
4EESteven J. E. Wilton, Jonathan Rose, Zvonko G. Vranesic: Architecture of Centralized Field-Configurable Memory. FPGA 1995: 97-103
1994
3 Norman P. Jouppi, Steven J. E. Wilton: Tradeoffs in Two-Level On-Chip Caching. ISCA 1994: 34-45
1993
2 Gennady Feygin, Paul Chow, P. Glenn Gulak, John Chappel, Grant Goodes, Oswin Hall, Ahmad Sayes, Satwant Singh, Michael B. Smith, Steven J. E. Wilton: A VLSI Implementation of a Cascade Viterbi Decoder with Traceback. ISCAS 1993: 1945-1948
1 Steven J. E. Wilton, Zvonko G. Vranesic: Architectural Support for Block Transfers in a Shared-Memory Multiprocessor. SPDP 1993: 51-55

Coauthor Index

1Su-Shin Ang [22]
2Kimberly Bozman [20]
3John Chappel [2]
4Rebecca Cheng [18]
5Winnie W. Cheng [11]
6Scott Y. L. Chin [36] [53]
7C. T. Chow [31]
8Paul Chow [2]
9Joydip Das [54]
10André DeHon [41]
11Gennady Feygin [2]
12Grant Goodes [2]
13Mark R. Greenstreet [29] [49]
14P. Glenn Gulak [2]
15Oswin Hall [2]
16Peter Hallschmid [15] [25]
17Babak Hamidzadeh [11]
18Lei He [27]
19Chun Hok Ho [40] [43] [45] [48] [51]
20William K. C. Ho [9]
21Michael Hutton (Michael D. Hutton, Mike Hutton) [27]
22Christopher W. Jones [21]
23Norman P. Jouppi [3]
24Noha Kafafi [20]
25Zion Kwok [32]
26Andrew Lam [52]
27Julien Lamoureux [19] [21] [23] [37] [38] [39] [44] [46] [47] [50]
28Clarence S. P. Lee [36]
29Guy G. Lemieux [46] [50]
30Philip Heng Wai Leong [31] [33] [40] [43] [45] [48] [51] [52]
31Ernie Lin [14]
32Sergio López-Buedo [40]
33Wayne Luk [22] [31] [40] [43] [45] [48] [51] [52]
34M. Imran Masud [8]
35S. W. Oldridge [24]
36Kara K. W. Poon [17] [26]
37Bradley R. Quinton [28] [29] [30] [42] [45] [48] [49]
38Tero Rissa [33]
39Jonathan Rose [4] [5] [7] [13]
40Ahmad Sayes [2]
41Herman Schmit [34]
42Satwant Singh [2]
43Alastair M. Smith [54]
44Michael B. Smith [2]
45L. S. M. Tsui [31]
46Tim Tuan [27]
47Zvonko G. Vranesic [1] [4] [5] [7] [13]
48Andy Yan [17] [18] [26] [35]
49Chi Wai Yu [43]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)