dblp.uni-trier.dewww.uni-trier.de

Xijiang Lin

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
22EEElif Alpaslan, Yu Huang, Xijiang Lin, Wu-Tung Cheng, Jennifer Dworak: Reducing Scan Shift Power at RTL. VTS 2008: 139-146
21EEXijiang Lin, Yu Huang: Scan Shift Power Reduction by Freezing Power Sensitive Scan Cells. J. Electronic Testing 24(4): 327-334 (2008)
2007
20EESantiago Remersaro, Xijiang Lin, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski: Low Shift and Capture Power Scan Tests. VLSI Design 2007: 793-798
19EEMatthias Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl, Xijiang Lin, Ron Press: Logic Design for On-Chip Test Clock Generation - Implementation Details and Impact on Delay Test Quality CoRR abs/0710.4763: (2007)
18EESantiago Remersaro, Xijiang Lin, Sudhakar M. Reddy, Irith Pomeranz, Janusz Rajski: Scan-Based Tests with Low Switching Activity. IEEE Design & Test of Computers 24(3): 268-275 (2007)
2006
17EEXijiang Lin, Janusz Rajski: The Impacts of Untestable Defects on Transition Fault Testing. VTS 2006: 2-7
16EEZhuo Zhang, Sudhakar M. Reddy, Irith Pomeranz, Xijiang Lin, Janusz Rajski: Scan Tests with Multiple Fault Activation Cycles for Delay Faults. VTS 2006: 343-348
2005
15EEXijiang Lin, Janusz Rajski: Propagation delay fault: a new fault model to test delay faults. ASP-DAC 2005: 178-183
14EEMatthias Beck, Olivier Barondeau, Martin Kaibel, Frank Poehl, Xijiang Lin, Ron Press: Logic Design for On-Chip Test Clock Generation - Implementation Details and Impact on Delay Test Quality. DATE 2005: 56-61
13EEMatthias Beck, Olivier Barondeau, Frank Poehl, Xijiang Lin, Ron Press: Measures to Improve Delay Fault Testing on Low-Cost Testers - A Case Study. VTS 2005: 223-228
2003
12EEXijiang Lin, Rob Thompson: Test generation for designs with multiple clocks. DAC 2003: 662-667
11EEXijiang Lin, Ron Press, Janusz Rajski, Paul Reuter, Thomas Rinderknecht, Bruce Swanson, Nagesh Tamarapalli: High-Frequency, At-Speed Scan Testing. IEEE Design & Test of Computers 20(5): 17-25 (2003)
2002
10EEChen Wang, Sudhakar M. Reddy, Irith Pomeranz, Xijiang Lin, Janusz Rajski: Conflict driven techniques for improving deterministic test pattern generation. ICCAD 2002: 87-93
9EENandu Tendolkar, Rajesh Raina, Rick Woltenberg, Xijiang Lin, Bruce Swanson, Greg Aldrich: Novel Techniques for Achieving High At-Speed Transition Fault Test Coverage for Motorola's Microprocessors Based on PowerPC(tm) Instruction Set Architecture. VTS 2002: 3-8
2001
8EEIrith Pomeranz, Sudhakar M. Reddy, Xijiang Lin: Experimental Results of Forward-Looking Reverse Order Fault Simulation on Industrial Circuits with Scan. Asian Test Symposium 2001: 467
7 Xijiang Lin, Janusz Rajski, Irith Pomeranz, Sudhakar M. Reddy: On static test compaction and test pattern ordering for scan designs. ITC 2001: 1088-1097
2000
6EEXijiang Lin, Wu-Tung Cheng, Irith Pomeranz, Sudhakar M. Reddy: SIFAR: Static Test Compaction for Synchronous Sequential Circuits Based on Single Fault Restoration. VTS 2000: 205-212
1999
5EEXijiang Lin, Irith Pomeranz, Sudhakar M. Reddy: Full Scan Fault Coverage With Partial Scan. DATE 1999: 468-472
4EEXijiang Lin, Irith Pomeranz, Sudhakar M. Reddy: Techniques for improving the efficiency of sequential circuit test generation. ICCAD 1999: 147-151
3EESudhakar M. Reddy, Irith Pomeranz, Nadir Z. Basturkmen, Xijiang Lin: Procedures for Identifying Undetectable and Redundant Faults In Synchronous Sequential Circuits. VTS 1999: 275-283
1998
2EEXijiang Lin, Irith Pomeranz, Sudhakar M. Reddy: MIX: A Test Generation System for Synchronous Sequential Circuits. VLSI Design 1998: 456-463
1EEXijiang Lin, Irith Pomeranz, Sudhakar M. Reddy: On Removing Redundant Faults in Synchronous Sequential Circuits. VTS 1998: 168-175

Coauthor Index

1Greg Aldrich [9]
2Elif Alpaslan [22]
3Olivier Barondeau [13] [14] [19]
4Nadir Z. Basturkmen [3]
5Matthias Beck [13] [14] [19]
6Wu-Tung Cheng [6] [22]
7Jennifer Dworak [22]
8Yu Huang [21] [22]
9Martin Kaibel [14] [19]
10Frank Poehl [13] [14] [19]
11Irith Pomeranz [1] [2] [3] [4] [5] [6] [7] [8] [10] [16] [18] [20]
12Ron Press [11] [13] [14] [19]
13Rajesh Raina [9]
14Janusz Rajski [7] [10] [11] [15] [16] [17] [18] [20]
15Sudhakar M. Reddy [1] [2] [3] [4] [5] [6] [7] [8] [10] [16] [18] [20]
16Santiago Remersaro [18] [20]
17Paul Reuter [11]
18Thomas Rinderknecht [11]
19Bruce Swanson [9] [11]
20Nagesh Tamarapalli [11]
21Nandu Tendolkar [9]
22Rob Thompson [12]
23Chen Wang [10]
24Rick Woltenberg [9]
25Zhuo Zhang [16]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)