dblp.uni-trier.dewww.uni-trier.de

Babak Falsafi

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
78EERyan Johnson, Ippokratis Pandis, Nikos Hardavellas, Anastasia Ailamaki, Babak Falsafi: Shore-MT: a scalable storage manager for the multicore era. EDBT 2009: 24-35
77EEThomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos: Practical off-chip meta-data for temporal memory streaming. HPCA 2009: 79-90
2008
76EEIoana Burcea, Stephen Somogyi, Andreas Moshovos, Babak Falsafi: Predictor virtualization. ASPLOS 2008: 157-167
75EEEric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai: A complexity-effective architecture for accelerating full-system multiprocessor simulations using FPGAs. FPGA 2008: 77-86
74EEThomas F. Wenisch, Michael Ferdman, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos: Temporal streams in commercial server applications. IISWC 2008: 99-108
73EEShimin Chen, Michael Kozuch, Theodoros Strigkos, Babak Falsafi, Phillip B. Gibbons, Todd C. Mowry, Vijaya Ramachandran, Olatunji Ruwase, Michael Ryan, Evangelos Vlachos: Flexible Hardware Acceleration for Instruction-Grain Program Monitoring. ISCA 2008: 377-388
72EEMichael Ferdman, Thomas F. Wenisch, Anastasia Ailamaki, Babak Falsafi, Andreas Moshovos: Temporal instruction fetch streaming. MICRO 2008: 1-10
71EEBabak Falsafi, Pascal Felber: Introduction. SIGPLAN Notices 43(5): 10 (2008)
2007
70EENikos Hardavellas, Ippokratis Pandis, Ryan Johnson, Naju Mancheril, Anastassia Ailamaki, Babak Falsafi: Database Servers on Chip Multiprocessors: Limitations and Opportunities. CIDR 2007: 79-87
69EEEric S. Chung, Eriko Nurvitadhi, James C. Hoe, Babak Falsafi, Ken Mai: PROToFLEX: FPGA-accelerated Hybrid Functional Simulator. IPDPS 2007: 1-6
68EEThomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos: Mechanisms for store-wait-free multiprocessors. ISCA 2007: 266-277
67EEMichael Ferdman, Babak Falsafi: Last-Touch Correlated Data Streaming. ISPASS 2007: 105-115
66EEJangwoo Kim, Nikos Hardavellas, Ken Mai, Babak Falsafi, James C. Hoe: Multi-bit Error Tolerant Caches Using Two-Dimensional Error Coding. MICRO 2007: 197-209
65EEJangwoo Kim, Jared C. Smolens, Babak Falsafi, James C. Hoe: PAI: A Lightweight Mechanism for Single-Node Memory Recovery in DSM Servers. PRDC 2007: 298-305
64EEShimin Chen, Phillip B. Gibbons, Michael Kozuch, Vasileios Liaskovitis, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Todd C. Mowry, Chris Wilkerson: Scheduling threads for constructive cache sharing on CMPs. SPAA 2007: 105-115
63EERyan Johnson, Nikos Hardavellas, Ippokratis Pandis, Naju Mancheril, Stavros Harizopoulos, Kivanc Sabirli, Anastassia Ailamaki, Babak Falsafi: To Share or Not To Share? VLDB 2007: 351-362
2006
62EEShimin Chen, Babak Falsafi, Phillip B. Gibbons, Michael Kozuch, Todd C. Mowry, Radu Teodorescu, Anastassia Ailamaki, Limor Fix, Gregory R. Ganger, Bin Lin, Steven W. Schlosser: Log-based architectures for general-purpose monitoring of deployed code. ASID 2006: 63-65
61EEThomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe: Statistical sampling of microarchitecture simulation. IPDPS 2006
60EEStephen Somogyi, Thomas F. Wenisch, Anastassia Ailamaki, Babak Falsafi, Andreas Moshovos: Spatial Memory Streaming. ISCA 2006: 252-263
59EEThomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe: Simulation sampling with live-points. ISPASS 2006: 2-12
58EEJared C. Smolens, Brian T. Gold, Babak Falsafi, James C. Hoe: Reunion: Complexity-Effective Multicore Redundancy. MICRO 2006: 223-234
57EEVasileios Liaskovitis, Shimin Chen, Phillip B. Gibbons, Anastassia Ailamaki, Guy E. Blelloch, Babak Falsafi, Limor Fix, Nikos Hardavellas, Michael Kozuch, Todd C. Mowry, Chris Wilkerson: Parallel depth first vs. work stealing schedulers on CMP architectures. SPAA 2006: 330
56EERoland E. Wunderlich, Thomas F. Wenisch, Babak Falsafi, James C. Hoe: Statistical sampling of microarchitecture simulation. ACM Trans. Model. Comput. Simul. 16(3): 197-224 (2006)
55EESeon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar: Exploiting reference idempotency to reduce speculative storage overflow. ACM Trans. Program. Lang. Syst. 28(5): 942-965 (2006)
54EEJason F. Cantin, James E. Smith, Mikko H. Lipasti, Andreas Moshovos, Babak Falsafi: Coarse-Grain Coherence Tracking: RegionScout and Region Coherence Arrays. IEEE Micro 26(1): 70-79 (2006)
53EEThomas F. Wenisch, Roland E. Wunderlich, Michael Ferdman, Anastassia Ailamaki, Babak Falsafi, James C. Hoe: SimFlex: Statistical Sampling of Computer System Simulation. IEEE Micro 26(4): 18-31 (2006)
52EEAlan Fern, Robert Givan, Babak Falsafi, T. N. Vijaykumar: Dynamic feature selection for hardware prediction. Journal of Systems Architecture 52(4): 213-234 (2006)
2005
51 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers Springer 2005
50EEMinglong Shao, Anastassia Ailamaki, Babak Falsafi: DBmbench: fast and accurate database workload representation on modern microarchitecture. CASCON 2005: 254-267
49EEBrian T. Gold, Anastassia Ailamaki, Larry Huston, Babak Falsafi: Accelerating Database Operations Using a Network Processor. DaMoN 2005
48EEDoug Carmean, Babak Falsafi, Bradley C. Kuszmaul, Jignesh M. Patel, Kenneth A. Ross: Architecture-Conscious Databases: sub-optimization or the next big leap? DaMoN 2005
47EEWon-Ho Park, Andreas Moshovos, Babak Falsafi: RECAST: Boosting Tag Line Buffer Coverage in Low-Power High-Level Caches "for Free". ICCD 2005: 609-616
46EEThomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Chris Gniady, Anastassia Ailamaki, Babak Falsafi: Store-Ordered Streaming of Shared Memory. IEEE PACT 2005: 75-86
45EEThomas F. Wenisch, Stephen Somogyi, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, Babak Falsafi: Temporal Streaming of Shared Memory. ISCA 2005: 222-233
44EEThomas F. Wenisch, Roland E. Wunderlich, Babak Falsafi, James C. Hoe: TurboSMARTS: accurate microarchitecture simulation sampling in minutes. SIGMETRICS 2005: 408-409
43EEBrian T. Gold, Jangwoo Kim, Jared C. Smolens, Eric S. Chung, Vasileios Liaskovitis, Eriko Nurvitadhi, Babak Falsafi, James C. Hoe, Andreas Nowatzyk: TRUSS: A Reliable, Scalable Server Architecture. IEEE Micro 25(6): 51-59 (2005)
42EEAndreas Moshovos, Babak Falsafi, Farid N. Najm, Navid Azizi: A Case for Asymmetric-Cell Cache Memories. IEEE Trans. VLSI Syst. 13(7): 877-881 (2005)
41EEBabak Falsafi, David A. Wood: Evaluating scheduling policies for fine-grain communication protocols on a cluster of SMPs. J. Parallel Distrib. Comput. 65(4): 464-478 (2005)
2004
40 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, Third International Workshop, PACS 2003, SanDiego, CA, USA, December 1, 2003, Revised Papers Springer 2004
39EEJared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk: Fingerprinting: bounding soft-error detection latency and bandwidth. ASPLOS 2004: 224-234
38EEChi F. Chen, Se-Hyun Yang, Babak Falsafi, Andreas Moshovos: Accurate and Complexity-Effective Spatial Pattern Prediction. HPCA 2004: 276-287
37EEJared C. Smolens, Jangwoo Kim, James C. Hoe, Babak Falsafi: Efficient Resource Sharing in Concurrent Error Detecting Superscalar Microarchitectures. MICRO 2004: 257-268
36EEStephen Somogyi, Thomas F. Wenisch, Nikolaos Hardavellas, Jangwoo Kim, Anastassia Ailamaki, Babak Falsafi: Memory coherence activity prediction in commercial workloads. WMPI 2004: 37-45
35EEJared C. Smolens, Brian T. Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk: Fingerprinting: Bounding Soft-Error-Detection Latency and Bandwidth. IEEE Micro 24(6): 22-29 (2004)
34EENikolaos Hardavellas, Stephen Somogyi, Thomas F. Wenisch, Roland E. Wunderlich, Shelley Chen, Jangwoo Kim, Babak Falsafi, James C. Hoe, Andreas Nowatzyk: SimFlex: a fast, accurate, flexible full-system simulation framework for performance evaluation of server architecture. SIGMETRICS Performance Evaluation Review 31(4): 31-34 (2004)
2003
33 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, Second International Workshop, PACS 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers Springer 2003
32EEIl Park, Babak Falsafi, T. N. Vijaykumar: Iimplicitly-Multithreaded Processors. ISCA 2003: 39-50
31EERoland E. Wunderlich, Thomas F. Wenisch, Babak Falsafi, James C. Hoe: SMARTS: Accelerating Microarchitecture Simulation via Rigorous Statistical Sampling. ISCA 2003: 84-95
30EESe-Hyun Yang, Babak Falsafi: Near-Optimal Precharging in High-Performance Nanoscale CMOS Caches. MICRO 2003: 67-80
29EEChris Gniady, Babak Falsafi: Speculative Sequential Consistency with Little Custom Storage. J. Instruction-Level Parallelism 5: (2003)
2002
28EESe-Hyun Yang, Michael D. Powell, Babak Falsafi, T. N. Vijaykumar: Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay. HPCA 2002: 151-
27EEChris Gniady, Babak Falsafi: Speculative Sequential Consistency with Little Custom Storage. IEEE PACT 2002: 179-188
26EEAn-Chow Lai, Babak Falsafi: Optimizing Traffic in DSM Clusters: Fine-Grain Memory Caching versus Page Migration/Replication. Theory Comput. Syst. 35(3): 269-287 (2002)
2001
25 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, First International Workshop, PACS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers Springer 2001
24EESe-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy, T. N. Vijaykumar: An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. HPCA 2001: 147-158
23EEAndreas Moshovos, Gokhan Memik, Babak Falsafi, Alok N. Choudhary: JETTY: Filtering Snoops for Reduced Energy Consumption in SMP Servers. HPCA 2001: 85-96
22EEChong-liang Ooi, Seon Wook Kim, Il Park, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar: Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. ICS 2001: 368-380
21EEAn-Chow Lai, Cem Fide, Babak Falsafi: Dead-block prediction & dead-block correlating prefetchers. ISCA 2001: 144-154
20EEJoydeep Ray, James C. Hoe, Babak Falsafi: Dual use of superscalar datapath for transient-fault detection and recovery. MICRO 2001: 214-224
19EEMichael D. Powell, Amit Agarwal, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy: Reducing set-associative cache energy via way-prediction and selective direct-mapping. MICRO 2001: 54-65
18EESeon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar: Reference idempotency analysis: a framework for optimizing speculative execution. PPOPP 2001: 2-11
17EEMichael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy, T. N. Vijaykumar: Reducing leakage in a high-performance deep-submicron instruction cache. IEEE Trans. VLSI Syst. 9(1): 77-89 (2001)
2000
16 Babak Falsafi, Mario Lauria: Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, CANPC 2000, Toulouse, France, January 8, 2000, Proceedings Springer 2000
15EEIlanthiraiyan Pragaspathy, Babak Falsafi: Address Partitioning in DSM Clusters with Parallel Coherence Controllers. IEEE PACT 2000: 47-56
14EEAn-Chow Lai, Babak Falsafi: Selective, accurate, and timely self-invalidation using last-touch prediction. ISCA 2000: 139-148
13EEAn-Chow Lai, Babak Falsafi: Comparing the effectiveness of fine-grain memory caching against page migration/replication in reducing traffic in DSM clusters. SPAA 2000: 79-88
1999
12EEBabak Falsafi, David A. Wood: Parallel Dispatch Queue: A Queue-Based Programming Abstraction to Parallelize Fine-Grain Communication Protocols. HPCA 1999: 182-192
11EEChris Gniady, Babak Falsafi, T. N. Vijaykumar: Is SC + ILP=RC? ISCA 1999: 162-171
10EEAn-Chow Lai, Babak Falsafi: Memory Sharing Predictor: The Key to a Speculative Coherent DSM. ISCA 1999: 172-183
1998
9EEIoannis Schoinas, Babak Falsafi, Mark D. Hill, James R. Larus, David A. Wood: Sirocco: Cost-Effective Fine-Grain Distributed Shared Memory. IEEE PACT 1998: 40-
1997
8EEBabak Falsafi, David A. Wood: Scheduling Communication on a SMP Node Parallel Machine. HPCA 1997: 128-
7EEBabak Falsafi, David A. Wood: Reactive NUMA: A Design for Unifying S-COMA and CC-NUMA. ISCA 1997: 229-240
6EEBabak Falsafi, David A. Wood: Modeling Cost/Performance of a Parallel Computer Simulator. ACM Trans. Model. Comput. Simul. 7(1): 104-130 (1997)
1996
5EEShubhendu S. Mukherjee, Babak Falsafi, Mark D. Hill, David A. Wood: Coherent Network Interfaces for Fine-Grain Communication. ISCA 1996: 247-258
1994
4 Ioannis Schoinas, Babak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, James R. Larus, David A. Wood: Fine-grain Access Control for Distributed Shared Memory. ASPLOS 1994: 297-306
3EEBabak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, Ioannis Schoinas, Mark D. Hill, James R. Larus, Anne Rogers, David A. Wood: Application-specific protocols for user-level shared memory. SC 1994: 380-389
1993
2 David A. Wood, Satish Chandra, Babak Falsafi, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, Shubhendu S. Mukherjee, Subbarao Palacharla, Steven K. Reinhardt: Mechanisms for Cooperative Shared Memory. ISCA 1993: 156-167
1EESteven K. Reinhardt, Babak Falsafi, David A. Wood: Kernel Support for the Wisconsin Wind Tunnel. USENIX Microkernels and Other Kernel Architectures Symposium 1993: 73-90

Coauthor Index

1Amit Agarwal [19]
2Anastasia Ailamaki (Anastassia Ailamaki, Ailamaki Natassa) [36] [45] [46] [49] [50] [53] [57] [60] [62] [63] [64] [68] [70] [72] [74] [77] [78]
3Navid Azizi [42]
4Guy E. Blelloch [57] [64]
5Ioana Burcea [76]
6Jason F. Cantin [54]
7Doug Carmean [48]
8Satish Chandra [2]
9Chi F. Chen [38]
10Shelley Chen [34]
11Shimin Chen [57] [62] [64] [73]
12Alok N. Choudhary [23]
13Eric S. Chung [43] [69] [75]
14Rudolf Eigenmann [18] [22] [55]
15Pascal Felber [71]
16Michael Ferdman [53] [67] [72] [74] [77]
17Alan Fern [52]
18Cem Fide [21]
19Limor Fix [57] [62] [64]
20Gregory R. Ganger [62]
21Phillip B. Gibbons [57] [62] [64] [73]
22Robert Givan [52]
23Chris Gniady [11] [27] [29] [46]
24Brian T. Gold (Brian Gold) [35] [39] [43] [49] [58]
25Nikolaos Hardavellas (Nikos Hardavellas) [34] [36] [45] [46] [57] [63] [64] [66] [70] [78]
26Stavros Harizopoulos [63]
27Mark D. Hill [2] [3] [5] [9]
28James C. Hoe [20] [31] [34] [35] [37] [39] [43] [44] [53] [56] [58] [59] [61] [65] [66] [69] [75]
29Larry Huston [49]
30Ryan Johnson [63] [70] [78]
31Jangwoo Kim [34] [35] [36] [37] [39] [43] [45] [46] [65] [66]
32Seon Wook Kim [18] [22] [55]
33Michael Kozuch [57] [62] [64] [73]
34Bradley C. Kuszmaul [48]
35An-Chow Lai [10] [13] [14] [21] [26]
36James R. Larus [2] [3] [4] [9]
37Mario Lauria [16]
38Alvin R. Lebeck [2] [3] [4]
39James C. Lewis [2]
40Vasileios Liaskovitis [43] [57] [64]
41Bin Lin [62]
42Mikko H. Lipasti [54]
43Ken Mai [66] [69] [75]
44Naju Mancheril [63] [70]
45Gokhan Memik [23]
46Andreas Moshovos [23] [38] [42] [47] [54] [60] [68] [72] [74] [76] [77]
47Todd C. Mowry [57] [62] [64] [73]
48Shubhendu S. Mukherjee [2] [5]
49Farid N. Najm [42]
50Andreas Nowatzyk [34] [35] [39] [43]
51Eriko Nurvitadhi [43] [69] [75]
52Chong-liang Ooi [18] [22] [55]
53Subbarao Palacharla [2]
54Ippokratis Pandis [63] [70] [78]
55Il Park [22] [32]
56Won-Ho Park [47]
57Jignesh M. Patel [48]
58Michael D. Powell [17] [19] [24] [28]
59Ilanthiraiyan Pragaspathy [15]
60Vijaya Ramachandran [73]
61Joydeep Ray [20]
62Steven K. Reinhardt [1] [2] [3] [4]
63Anne Rogers [3]
64Kenneth A. Ross [48]
65Kaushik Roy [17] [19] [24]
66Olatunji Ruwase [73]
67Michael Ryan [73]
68Kivanc Sabirli [63]
69Steven W. Schlosser [62]
70Ioannis Schoinas [3] [4] [9]
71Minglong Shao [50]
72James E. Smith [54]
73Jared C. Smolens [35] [37] [39] [43] [58] [65]
74Stephen Somogyi [34] [36] [45] [46] [60] [76]
75Theodoros Strigkos [73]
76Radu Teodorescu [62]
77T. N. Vijaykumar [11] [17] [18] [19] [22] [24] [25] [28] [32] [33] [40] [51] [52] [55]
78Evangelos Vlachos [73]
79Thomas F. Wenisch [31] [34] [36] [44] [45] [46] [53] [56] [59] [60] [61] [68] [72] [74] [77]
80Chris Wilkerson [57] [64]
81David A. Wood [1] [2] [3] [4] [5] [6] [7] [8] [9] [12] [41]
82Roland E. Wunderlich [31] [34] [44] [53] [56] [59] [61]
83Se-Hyun Yang [17] [24] [28] [30] [38]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)