dblp.uni-trier.dewww.uni-trier.de

T. N. Vijaykumar

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
63EEEric Chun, Zeshan Chishti, T. N. Vijaykumar: Shapeshifter: Dynamically changing pipeline width and speed to address process variations. MICRO 2008: 411-422
62EEAhmed M. Amin, Mithuna Thottethodi, T. N. Vijaykumar, Steven Wereley, Stephen C. Jacobson: Automatic volume management for programmable microfluidics. PLDI 2008: 56-67
61EEZeshan Chishti, T. N. Vijaykumar: Optimal Power/Performance Pipeline Depth for SMT in Scaled Technologies. IEEE Trans. Computers 57(1): 69-81 (2008)
2007
60EEEthan Schuchman, T. N. Vijaykumar: BlackJack: Hard Error Detection with Redundant Threads on SMT. DSN 2007: 327-337
59EEAhmed M. Amin, Mithuna Thottethodi, T. N. Vijaykumar, Steven Wereley, Stephen C. Jacobson: Aquacore: a programmable architecture for microfluidics. ISCA 2007: 254-265
58EEMichael D. Powell, T. N. Vijaykumar: Resource area dilation to reduce power density in throughput servers. ISLPED 2007: 268-273
57EETroy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar: Speculative thread decomposition through empirical optimization. PPOPP 2007: 205-214
2006
56EEChen-Yong Cher, Il Park, T. N. Vijaykumar: Do Trace Cache, Value Prediction and Prefetching Improve SMT Throughput?. ARCS 2006: 232-251
55EEEthan Schuchman, T. N. Vijaykumar: A program transformation and architecture support for quantum uncomputation. ASPLOS 2006: 252-263
54EEJin-Yi Wang, Yen-Shiang Shue, T. N. Vijaykumar, Saurabh Bagchi: Pesticide: Using SMT Processors to Improve Performance of Pointer Bug Detection. ICCD 2006
53EESeon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar: Exploiting reference idempotency to reduce speculative storage overflow. ACM Trans. Program. Lang. Syst. 28(5): 942-965 (2006)
52EEMohamed A. Gomaa, T. N. Vijaykumar: Opportunistic Transient-Fault Detection. IEEE Micro 26(1): 92-99 (2006)
51EEHilmi Ozdoganoglu, T. N. Vijaykumar, Carla E. Brodley, Benjamin A. Kuperman, Ankit Jalote: SmashGuard: A Hardware Solution to Prevent Security Attacks on the Function Return Address. IEEE Trans. Computers 55(10): 1271-1285 (2006)
50EEAlan Fern, Robert Givan, Babak Falsafi, T. N. Vijaykumar: Dynamic feature selection for hardware prediction. Journal of Systems Architecture 52(4): 213-234 (2006)
2005
49 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, 4th International Workshop, PACS 2004, Portland, OR, USA, December 5, 2004, Revised Selected Papers Springer 2005
48EEJahangir Hasan, Ankit Jalote, T. N. Vijaykumar, Carla E. Brodley: Heat Stroke: Power-Density-Based Denial of Service in SMT. HPCA 2005: 166-177
47EEEthan Schuchman, T. N. Vijaykumar: Rescue: A Microarchitecture for Testability and Defect Tolerance. ISCA 2005: 160-171
46EEMohamed A. Gomaa, T. N. Vijaykumar: Opportunistic Transient-Fault Detection. ISCA 2005: 172-183
45EEZeshan Chishti, Michael D. Powell, T. N. Vijaykumar: Optimizing Replication, Communication, and Capacity Allocation in CMPs. ISCA 2005: 357-368
44EEMichael D. Powell, Ethan Schuchman, T. N. Vijaykumar: Balancing Resource Utilization to Mitigate Power Density in Processor Pipelines. MICRO 2005: 294-304
43EEJahangir Hasan, T. N. Vijaykumar: Dynamic pipelining: making IP-lookup truly scalable. SIGCOMM 2005: 205-216
42EEBenjamin A. Kuperman, Carla E. Brodley, Hilmi Ozdoganoglu, T. N. Vijaykumar, Ankit Jalote: Detection and prevention of stack buffer overflow attacks. Commun. ACM 48(11): 50-56 (2005)
41EEHai Li, Chen-Yong Cher, Kaushik Roy, T. N. Vijaykumar: Combined circuit and architectural level variable supply-voltage scaling for low power. IEEE Trans. VLSI Syst. 13(5): 564-576 (2005)
2004
40 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, Third International Workshop, PACS 2003, SanDiego, CA, USA, December 1, 2003, Revised Papers Springer 2004
39EEChen-Yong Cher, Antony L. Hosking, T. N. Vijaykumar: Software prefetching for mark-sweep garbage collection: hardware analysis and software redesign. ASPLOS 2004: 199-210
38EEMohamed A. Gomaa, Michael D. Powell, T. N. Vijaykumar: Heat-and-run: leveraging SMT and CMP to manage power density through the operating system. ASPLOS 2004: 260-270
37EEMichael D. Powell, T. N. Vijaykumar: Exploiting Resonant Behavior to Reduce Inductive Noise. ISCA 2004: 288-301
36EET. N. Vijaykumar, Zeshan Chishti: Wire Delay is Not a Problem for SMT (In the Near Future). ISCA 2004: 40-51
35EETroy A. Johnson, Rudolf Eigenmann, T. N. Vijaykumar: Min-cut program decomposition for thread-level speculation. PLDI 2004: 59-70
34 Hai Li, Swarup Bhunia, Yiran Chen, Kaushik Roy, T. N. Vijaykumar: DCG: deterministic clock-gating for low-power microprocessor design. IEEE Trans. VLSI Syst. 12(3): 245-254 (2004)
2003
33 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, Second International Workshop, PACS 2002 Cambridge, MA, USA, February 2, 2002, Revised Papers Springer 2003
32EEAmit Agarwal, Kaushik Roy, T. N. Vijaykumar: Exploring High Bandwidth Pipelined Cache Architecture for Scaled Technology. DATE 2003: 10778-10783
31EEHai Li, Swarup Bhunia, Yiran Chen, T. N. Vijaykumar, Kaushik Roy: Deterministic Clock Gating for Microprocessor Power Reduction. HPCA 2003: 113-
30EEJahangir Hasan, Satish Chandra, T. N. Vijaykumar: Efficient Use of Memory Bandwidth to Improve Network Processor Throughput. ISCA 2003: 300-311
29EEIl Park, Babak Falsafi, T. N. Vijaykumar: Iimplicitly-Multithreaded Processors. ISCA 2003: 39-50
28EEMichael D. Powell, T. N. Vijaykumar: Pipeline Damping: A Microarchitectural Technique to Reduce Inductive Noise in Supply Voltage. ISCA 2003: 72-83
27EEMohamed A. Gomaa, Chad Scarbrough, Irith Pomeranz, T. N. Vijaykumar: Transient-Fault Recovery for Chip Multiprocessors. ISCA 2003: 98-109
26EEMichael D. Powell, T. N. Vijaykumar: Pipeline muffling and a priori current ramping: architectural techniques to reduce high-frequency inductive noise. ISLPED 2003: 223-228
25EEPraveen Dongara, T. N. Vijaykumar: Accelerating private-key cryptography via multithreading on symmetric multiprocessors. ISPASS 2003: 58-69
24EEHai Li, Chen-Yong Cher, T. N. Vijaykumar, Kaushik Roy: VSV: L2-Miss-Driven Variable Supply-Voltage Scaling for Low Power. MICRO 2003: 19-28
23EEIl Park, Chong-liang Ooi, T. N. Vijaykumar: Reducing Design Complexity of the Load/Store Queue. MICRO 2003: 411-422
22EEZeshan Chishti, Michael D. Powell, T. N. Vijaykumar: Distance Associativity for High-Performance Energy-Efficient Non-Uniform Cache Architectures. MICRO 2003: 55-66
21EEMohamed A. Gomaa, Chad Scarbrough, T. N. Vijaykumar, Irith Pomeranz: Transient-Fault Recovery for Chip Multiprocessors. IEEE Micro 23(6): 76-83 (2003)
2002
20EESe-Hyun Yang, Michael D. Powell, Babak Falsafi, T. N. Vijaykumar: Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay. HPCA 2002: 151-
19EET. N. Vijaykumar, Irith Pomeranz, Karl Cheng: Transient-Fault Recovery Using Simultaneous Multithreading. ISCA 2002: 87-98
18EEIl Park, Michael D. Powell, T. N. Vijaykumar: Reducing register ports for higher speed and lower energy. MICRO 2002: 171-182
2001
17 Babak Falsafi, T. N. Vijaykumar: Power-Aware Computer Systems, First International Workshop, PACS 2000, Cambridge, MA, USA, November 12, 2000, Revised Papers Springer 2001
16EESe-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy, T. N. Vijaykumar: An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. HPCA 2001: 147-158
15EEChong-liang Ooi, Seon Wook Kim, Il Park, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar: Multiplex: unifying conventional and speculative thread-level parallelism on a chip multiprocessor. ICS 2001: 368-380
14EEBrannon Batson, T. N. Vijaykumar: Reactive-Associative Caches. IEEE PACT 2001: 49-60
13EEChen-Yong Cher, T. N. Vijaykumar: Skipper: a microarchitecture for exploiting control-flow independence. MICRO 2001: 4-15
12EEMichael D. Powell, Amit Agarwal, T. N. Vijaykumar, Babak Falsafi, Kaushik Roy: Reducing set-associative cache energy via way-prediction and selective direct-mapping. MICRO 2001: 54-65
11EESeon Wook Kim, Chong-liang Ooi, Rudolf Eigenmann, Babak Falsafi, T. N. Vijaykumar: Reference idempotency analysis: a framework for optimizing speculative execution. PPOPP 2001: 2-11
10EET. N. Vijaykumar, Sridhar Gopal, James E. Smith, Gurindar S. Sohi: Speculative Versioning Cache. IEEE Trans. Parallel Distrib. Syst. 12(12): 1305-1317 (2001)
9EEMichael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy, T. N. Vijaykumar: Reducing leakage in a high-performance deep-submicron instruction cache. IEEE Trans. VLSI Syst. 9(1): 77-89 (2001)
1999
8EEChris Gniady, Babak Falsafi, T. N. Vijaykumar: Is SC + ILP=RC? ISCA 1999: 162-171
7 T. N. Vijaykumar, Gurindar S. Sohi: Task Selection for the Multiscalar Architecture. J. Parallel Distrib. Comput. 58(2): 132-158 (1999)
1998
6EEGurindar S. Sohi, Scott E. Breach, T. N. Vijaykumar: Multiscalar Processors. 25 Years ISCA: Retrospectives and Reprints 1998: 521-532
5EESridhar Gopal, T. N. Vijaykumar, James E. Smith, Gurindar S. Sohi: Speculative Versioning Cache. HPCA 1998: 195-205
4EET. N. Vijaykumar, Gurindar S. Sohi: Task Selection for a Multiscalar Processor. MICRO 1998: 81-92
1997
3EEAndreas Moshovos, Scott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi: Dynamic Speculation and Synchronization of Data Dependences. ISCA 1997: 181-193
1995
2EEGurindar S. Sohi, Scott E. Breach, T. N. Vijaykumar: Multiscalar Processors. ISCA 1995: 414-425
1994
1EEScott E. Breach, T. N. Vijaykumar, Gurindar S. Sohi: The anatomy of the register file in a multiscalar processor. MICRO 1994: 181-190

Coauthor Index

1Amit Agarwal [12] [32]
2Ahmed M. Amin [59] [62]
3Saurabh Bagchi [54]
4Brannon Batson [14]
5Swarup Bhunia [31] [34]
6Scott E. Breach [1] [2] [3] [6]
7Carla E. Brodley [42] [48] [51]
8Satish Chandra [30]
9Yiran Chen [31] [34]
10Karl Cheng [19]
11Chen-Yong Cher [13] [24] [39] [41] [56]
12Zeshan Chishti [22] [36] [45] [61] [63]
13Eric Chun [63]
14Praveen Dongara [25]
15Rudolf Eigenmann [11] [15] [35] [53] [57]
16Babak Falsafi [8] [9] [11] [12] [15] [16] [17] [20] [29] [33] [40] [49] [50] [53]
17Alan Fern [50]
18Robert Givan [50]
19Chris Gniady [8]
20Mohamed A. Gomaa [21] [27] [38] [46] [52]
21Sridhar Gopal [5] [10]
22Jahangir Hasan [30] [43] [48]
23Antony L. Hosking [39]
24Stephen C. Jacobson [59] [62]
25Ankit Jalote [42] [48] [51]
26Troy A. Johnson [35] [57]
27Seon Wook Kim [11] [15] [53]
28Benjamin A. Kuperman [42] [51]
29Hai Li [24] [31] [34] [41]
30Andreas Moshovos [3]
31Chong-liang Ooi [11] [15] [23] [53]
32Hilmi Ozdoganoglu [42] [51]
33Il Park [15] [18] [23] [29] [56]
34Irith Pomeranz [19] [21] [27]
35Michael D. Powell [9] [12] [16] [18] [20] [22] [26] [28] [37] [38] [44] [45] [58]
36Kaushik Roy [9] [12] [16] [24] [31] [32] [34] [41]
37Chad Scarbrough [21] [27]
38Ethan Schuchman [44] [47] [55] [60]
39Yen-Shiang Shue [54]
40James E. Smith [5] [10]
41Gurindar S. Sohi [1] [2] [3] [4] [5] [6] [7] [10]
42Mithuna Thottethodi [59] [62]
43Jin-Yi Wang [54]
44Steven Wereley [59] [62]
45Se-Hyun Yang [9] [16] [20]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)