dblp.uni-trier.dewww.uni-trier.de

Mark D. Hill

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
99EEMark D. Hill: Opportunities beyond single-core microprocessors. HPCA 2009: 143-144
98EEMark D. Hill: Opportunities beyond single-core microprocessors. PPOPP 2009: 97
2008
97EEMark D. Hill: Amdahl's Law in the multicore era. HPCA 2008: 187
96EEJayaram Bobba, Neelam Goyal, Mark D. Hill, Michael M. Swift, David A. Wood: TokenTM: Efficient Execution of Large Transactions with Hardware Transactional Memory. ISCA 2008: 127-138
95EEDerek Hower, Mark D. Hill: Rerun: Exploiting Episodes for Lightweight Memory Race Recording. ISCA 2008: 265-276
94EELuke Yen, Stark C. Draper, Mark D. Hill: Notary: Hardware techniques to enhance signatures. MICRO 2008: 234-245
93EEJayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, David A. Wood: Performance Pathologies in Hardware Transactional Memory. IEEE Micro 28(1): 32-41 (2008)
92EEMichael R. Marty, Mark D. Hill: Virtual Hierarchies. IEEE Micro 28(1): 99-109 (2008)
91EEMark D. Hill: Is transactional memory an oxymoron? PVLDB 1(1): 1 (2008)
2007
90EELuke Yen, Jayaram Bobba, Michael R. Marty, Kevin E. Moore, Haris Volos, Mark D. Hill, Michael M. Swift, David A. Wood: LogTM-SE: Decoupling Hardware Transactional Memory from Caches. HPCA 2007: 261-272
89EEMichael R. Marty, Mark D. Hill: Virtual hierarchies to support server consolidation. ISCA 2007: 46-56
88EEJayaram Bobba, Kevin E. Moore, Haris Volos, Luke Yen, Mark D. Hill, Michael M. Swift, David A. Wood: Performance pathologies in hardware transactional memory. ISCA 2007: 81-91
87EEDaniel Sanchez, Luke Yen, Mark D. Hill, Karthikeyan Sankaralingam: Implementing Signatures for Transactional Memory. MICRO 2007: 123-133
86EEMark D. Hill, Derek Hower, Kevin E. Moore, Michael M. Swift, Haris Volos, David A. Wood: A Case for Deconstructing Hardware Transactional Memory Systems. Programming Models for Ubiquitous Parallelism 2007
85EEMin Xu, Rastislav Bodík, Mark D. Hill: A Hardware Memory Race Recorder for Deterministic Replay. IEEE Micro 27(1): 48-55 (2007)
84EEJoel S. Emer, Mark D. Hill, Yale N. Patt, Joshua J. Yi, Derek Chiou, Resit Sendag: Single-Threaded vs. Multithreaded: Where Should We Focus? IEEE Micro 27(6): 14-24 (2007)
2006
83EEMichelle J. Moravan, Jayaram Bobba, Kevin E. Moore, Luke Yen, Mark D. Hill, Ben Liblit, Michael M. Swift, David A. Wood: Supporting nested transactional memory in logTM. ASPLOS 2006: 359-370
82EEMin Xu, Mark D. Hill, Rastislav Bodík: A regulated transitive reduction (RTR) for longer memory race recording. ASPLOS 2006: 49-60
81EEKevin E. Moore, Jayaram Bobba, Michelle J. Moravan, Mark D. Hill, David A. Wood: LogTM: log-based transactional memory. HPCA 2006: 254-265
80EEMichael R. Marty, Mark D. Hill: Coherence Ordering for Ring-based Chip Multiprocessors. MICRO 2006: 309-320
79EEMark D. Hill, Jean-Luc Gaudiot, Mary W. Hall, Joe Marks, Paolo Prinetto, Donna Baglio: A Wiki for discussing and promoting best practices in research. Commun. ACM 49(9): 63-64 (2006)
2005
78EEMichael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M. K. Martin, David A. Wood: Improving Multiple-CMP Systems Using Token Coherence. HPCA 2005: 328-339
77EEMin Xu, Rastislav Bodík, Mark D. Hill: A serializability violation detector for shared-memory server programs. PLDI 2005: 1-14
76EEMilo M. K. Martin, Daniel J. Sorin, Bradford M. Beckmann, Michael R. Marty, Min Xu, Alaa R. Alameldeen, Kevin E. Moore, Mark D. Hill, David A. Wood: Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. SIGARCH Computer Architecture News 33(4): 92-99 (2005)
2004
75EEMark D. Hill: A Future of Parallel Computer Architectures. ICPP 2004: 2
74EEDaniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood: Using Speculation to Simplify Multiprocessor Design. IPDPS 2004
73EEBrian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn: Interaction Cost: For When Event Counts Just Don't Add Up. IEEE Micro 24(6): 57-61 (2004)
72EEBrian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn: Interaction cost and shotgun profiling. TACO 1(3): 272-304 (2004)
2003
71EEDaniel J. Sorin, Mark D. Hill, David A. Wood: Dynamic Verification of End-to-End Multiprocessor Invariants. DSN 2003: 281-290
70EEMin Xu, Rastislav Bodík, Mark D. Hill: A "Flight Data Recorder" for Enabling Full-System Multiprocessor Deterministic Replay. ISCA 2003: 122-133
69EEMilo M. K. Martin, Mark D. Hill, David A. Wood: Token Coherence: Decoupling Performance and Correctness. ISCA 2003: 182-193
68EEMilo M. K. Martin, Pacia J. Harper, Daniel J. Sorin, Mark D. Hill, David A. Wood: Using Destination-Set Prediction to Improve the Latency/Bandwidth Tradeoff in Shared-Memory Multiprocessors. ISCA 2003: 206-217
67EEBrian A. Fields, Rastislav Bodík, Mark D. Hill, Chris J. Newburn: Using Interaction Costs for Microarchitectural Bottleneck Analysis. MICRO 2003: 228-242
66EEAlaa R. Alameldeen, Milo M. K. Martin, Carl J. Mauer, Kevin E. Moore, Min Xu, Mark D. Hill, David A. Wood, Daniel J. Sorin: Simulating a $2M Commercial Server on a $2K PC. IEEE Computer 36(2): 50-57 (2003)
65EEKevin Skadron, Margaret Martonosi, David I. August, Mark D. Hill, David J. Lilja, Vijay S. Pai: Challenges in Computer Architecture Evaluation. IEEE Computer 36(8): 30-36 (2003)
64EEMilo M. K. Martin, Mark D. Hill, David A. Wood: Token Coherence: A New Framework for Shared-Memory Multiprocessors. IEEE Micro 23(6): 108-116 (2003)
2002
63EEMilo M. K. Martin, Daniel J. Sorin, Mark D. Hill, David A. Wood: Bandwidth Adaptive Snooping. HPCA 2002: 251-262
62EEDaniel J. Sorin, Milo M. K. Martin, Mark D. Hill, David A. Wood: SafetyNet: Improving the Availability of Shared Memory Multiprocessors with Global Checkpoint/Recovery. ISCA 2002: 123-
61EEBrian A. Fields, Rastislav Bodík, Mark D. Hill: Slack: Maximizing Performance Under Technological Constraints. ISCA 2002: 47-58
60EECarl J. Mauer, Mark D. Hill, David A. Wood: Full-system timing-first simulation. SIGMETRICS 2002: 108-116
59EEDaniel J. Sorin, Manoj Plakal, Anne Condon, Mark D. Hill, Milo M. K. Martin, David A. Wood: Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol. IEEE Trans. Parallel Distrib. Syst. 13(6): 556-578 (2002)
58EEAnastassia Ailamaki, David J. DeWitt, Mark D. Hill: Data page layouts for relational databases on deep memory hierarchies. VLDB J. 11(3): 198-215 (2002)
2001
57EEMilo M. K. Martin, Daniel J. Sorin, Harold W. Cain, Mark D. Hill, Mikko H. Lipasti: Correctly implementing value prediction in microprocessors that support multithreading or multiprocessing. MICRO 2001: 328-337
56 Eric Schnarr, Mark D. Hill, James R. Larus: Facile: A Language and Compiler for High-Performance Processor Simulators. PLDI 2001: 321-331
55EEAnastassia Ailamaki, David J. DeWitt, Mark D. Hill, Marios Skounakis: Weaving Relations for Cache Performance. VLDB 2001: 169-180
54EEJason F. Cantin, Mark D. Hill: Cache performance for selected SPEC CPU2000 benchmarks. SIGARCH Computer Architecture News 29(4): 13-18 (2001)
2000
53EEMilo M. K. Martin, Daniel J. Sorin, Anastassia Ailamaki, Alaa R. Alameldeen, Ross M. Dickson, Carl J. Mauer, Kevin E. Moore, Manoj Plakal, Mark D. Hill, David A. Wood: Timestamp snooping: an approach for extending SMPs. ASPLOS 2000: 25-36
52EEMark D. Hill: How computer architecture trends may affect future distributed systems: from infiniBand clusters to inter-processor speculation (abstract). PODC 2000: 6
51EETrishul M. Chilimbi, Mark D. Hill, James R. Larus: Making Pointer-Based Data Structures Cache Conscious. IEEE Computer 33(12): 67-74 (2000)
1999
50EEAnne Condon, Mark D. Hill, Manoj Plakal, Daniel J. Sorin: Using Lamport Clocks to Reason about Relaxed Memory Models. HPCA 1999: 270-278
49EEE. Ender Bilir, Ross M. Dickson, Ying Hu, Manoj Plakal, Daniel J. Sorin, Mark D. Hill, David A. Wood: Multicast Snooping: A New Coherence Method Using a Multicast Address Network. ISCA 1999: 294-304
48EETrishul M. Chilimbi, Mark D. Hill, James R. Larus: Cache-Conscious Structure Layout. PLDI 1999: 1-12
47EEMark D. Hill, Anne Condon, Manoj Plakal, Daniel J. Sorin: A System-Level Specification Framework for I/O Architectures. SPAA 1999: 138-147
46EEAnastassia Ailamaki, David J. DeWitt, Mark D. Hill, David A. Wood: DBMSs on a Modern Processor: Where Does Time Go? VLDB 1999: 266-277
1998
45EESarita V. Adve, Mark D. Hill: Weak Ordering - A New Definition. 25 Years ISCA: Retrospectives and Reprints 1998: 363-375
44EESarita V. Adve, Mark D. Hill: Retrospective: Weak Ordering - A New Definition. 25 Years ISCA: Retrospectives and Reprints 1998: 63-66
43EEShubhendu S. Mukherjee, Mark D. Hill: The Impact of Data Transfer and Buffering Alternatives on Network Interface Design. HPCA 1998: 207-218
42EEIoannis Schoinas, Mark D. Hill: Address Translation Mechanisms In Network Interfaces. HPCA 1998: 219-230
41EEIoannis Schoinas, Babak Falsafi, Mark D. Hill, James R. Larus, David A. Wood: Sirocco: Cost-Effective Fine-Grain Distributed Shared Memory. IEEE PACT 1998: 40-
40EEShubhendu S. Mukherjee, Mark D. Hill: Using Prediction to Accelerate Coherence Protocols. ISCA 1998: 179-190
39EEManoj Plakal, Daniel J. Sorin, Anne Condon, Mark D. Hill: Lamport Clocks: Verifying a Directory Cache-Coherence Protocol. SPAA 1998: 67-76
38 Shubhendu S. Mukherjee, Mark D. Hill: Making Network Interfaces Less Peripheral. IEEE Computer 31(10): 70-76 (1998)
37 Andrew A. Chien, Mark D. Hill, Shubhendu S. Mukherjee: Design Challenges for High-Performance Network Interfaces - Guest Editors' Introduction. IEEE Computer 31(11): 42-44 (1998)
36 Mark D. Hill: Multiprocessors Should Support Simple Memory-Consistency Models. IEEE Computer 31(8): 28-34 (1998)
1997
35 Yuanyuan Zhou, Liviu Iftode, Jaswinder Pal Singh, Kai Li, Brian R. Toonen, Ioannis Schoinas, Mark D. Hill, David A. Wood: Relaxed Consistency and Coherence Granularity in DSM Systems: A Performance Evaluation. PPOPP 1997: 193-205
1996
34EEDavid A. Wood, Mark D. Hill, James R. Larus: The Tempest approach to distributed shared memory. ICCD 1996: 63-
33EEShubhendu S. Mukherjee, Babak Falsafi, Mark D. Hill, David A. Wood: Coherent Network Interfaces for Fine-Grain Communication. ISCA 1996: 247-258
32EESashikanth Chandrasekaran, Mark D. Hill: Optimistic Simulation of Parallel Architectures Using Program Executables. Workshop on Parallel and Distributed Simulation 1996: 143-150
1995
31 Mark D. Hill, James R. Larus, David A. Wood: Tempest: A Substrate for Portable Parallel Programs. COMPCON 1995: 327-332
30 Shubhendu S. Mukherjee, Shamik D. Sharma, Mark D. Hill, James R. Larus, Anne Rogers, Joel H. Saltz: Efficient Support for Irregular Applications on Distributed-Memory Machines. PPOPP 1995: 68-79
29 Madhusudhan Talluri, Mark D. Hill, Yousef Y. A. Khalidi: A New Page Table for 64-bit Address Spaces. SOSP 1995: 184-200
28 David A. Wood, Mark D. Hill: Cost-Effective Parallel Computing. IEEE Computer 28(2): 69-72 (1995)
27 Ted G. Lewis, Dave Power, Bertrand Meyer, Jack Grimes, Mike Potel, Ronald J. Vetter, Phillip A. Laplante, Wolfgang Pree, Gustav Pomberger, Mark D. Hill, James R. Larus, David A. Wood, Hesham El-Rewini, Bruce W. Weide: Where Is Software Headed? A Virtual Roundtable. IEEE Computer 28(8): 20-32 (1995)
1994
26 Madhusudhan Talluri, Mark D. Hill: Surpassing the TLB Performance of Superpages with Less Operating System Support. ASPLOS 1994: 171-182
25EEShubhendu S. Mukherjee, Mark D. Hill: An evaluation of directory protocols for medium-scale shared-memory multiprocessors. International Conference on Supercomputing 1994: 64-74
24EEBabak Falsafi, Alvin R. Lebeck, Steven K. Reinhardt, Ioannis Schoinas, Mark D. Hill, James R. Larus, Anne Rogers, David A. Wood: Application-specific protocols for user-level shared memory. SC 1994: 380-389
23 Richard E. Kessler, Mark D. Hill, David A. Wood: A Comparison of Trace-Sampling Techniques for Multi-Megabyte Caches. IEEE Trans. Computers 43(6): 664-675 (1994)
1993
22 David A. Wood, Satish Chandra, Babak Falsafi, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, Shubhendu S. Mukherjee, Subbarao Palacharla, Steven K. Reinhardt: Mechanisms for Cooperative Shared Memory. ISCA 1993: 156-167
21 Steven K. Reinhardt, Mark D. Hill, James R. Larus, Alvin R. Lebeck, James C. Lewis, David A. Wood: The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers. SIGMETRICS 1993: 48-60
20EEMark D. Hill, James R. Larus, Steven K. Reinhardt, David A. Wood: Cooperative Shared Memory: Software and Hardware Support for Scalable Multiprocesors. ACM Trans. Comput. Syst. 11(4): 300-318 (1993)
19 Andreas Farid Pour, Mark D. Hill: Performance Implications of Tolerating Cache Faults. IEEE Trans. Computers 42(3): 257-267 (1993)
18EESarita V. Adve, Mark D. Hill: A Unified Formalization of Four Shared-Memory Models. IEEE Trans. Parallel Distrib. Syst. 4(6): 613-624 (1993)
1992
17 Mark D. Hill, James R. Larus, Steven K. Reinhardt, David A. Wood: Cooperative Shared Memory: Software and Hardware Support for Scalable Multiprocesors. ASPLOS 1992: 262-273
16 Madhusudhan Talluri, Shing I. Kong, Mark D. Hill, David A. Patterson: Tradeoffs in Supporting Two Page Sizes. ISCA 1992: 415-424
15EERichard E. Kessler, Mark D. Hill: Page Placement Algorithms for Large Real-Indexed Caches. ACM Trans. Comput. Syst. 10(4): 338-359 (1992)
14 Kourosh Gharachorloo, Sarita V. Adve, Anoop Gupta, John L. Hennessy, Mark D. Hill: Programming for Different Memory Consistency Models. J. Parallel Distrib. Comput. 15(4): 399-407 (1992)
1991
13EESarita V. Adve, Mark D. Hill, Barton P. Miller, Robert H. B. Netzer: Detecting Data Races on Weak Memory Systems. ISCA 1991: 234-243
12EESarita V. Adve, Vikram S. Adve, Mark D. Hill, Mary K. Vernon: Comparison of Hardware and Software Cache Coherence Schemes. ISCA 1991: 298-308
11 Yul H. Kim, Mark D. Hill, David A. Wood: Implementing Stack Simulation for Highly-Associative Memories. SIGMETRICS 1991: 212-213
10 David A. Wood, Mark D. Hill, Richard E. Kessler: A Model for Estimating Trace-Sample Miss Ratios. SIGMETRICS 1991: 79-89
1990
9 Sarita V. Adve, Mark D. Hill: Implementing Sequential Consistency in Cache-Based Systems. ICPP (1) 1990: 47-50
8 Sarita V. Adve, Mark D. Hill: Weak Ordering - A New Definition. ISCA 1990: 2-14
7 Mark D. Hill, James R. Larus: Cache Considerations for Multiprocessor Programmers. Commun. ACM 33(8): 97-102 (1990)
1989
6EERichard E. Kessler, Richard Jooss, Alvin R. Lebeck, Mark D. Hill: Inexpensive Implementations of Set-Associativity. ISCA 1989: 131-139
5 Mark D. Hill, Alan Jay Smith: Evaluating Associativity in CPU Caches. IEEE Trans. Computers 38(12): 1612-1630 (1989)
1988
4 Mark D. Hill: A Case for Direct-Mapped Caches. IEEE Computer 21(12): 25-40 (1988)
1986
3 David A. Wood, Susan J. Eggers, Garth A. Gibson, Mark D. Hill, Joan M. Pendleton, Scott A. Ritchie, George S. Taylor, Randy H. Katz, David A. Patterson: An In-Cache Address Translation Mechanism. ISCA 1986: 358-365
1984
2 Mark D. Hill, Alan Jay Smith: Experimental Evaluation of On-Chip Microprocessor Cache Memories. ISCA 1984: 158-166
1983
1 David A. Patterson, Phil Garrison, Mark D. Hill, Dimitris Lioupis, Chris Nyberg, Tim Sippel, Korbin Van Dyke: Architecture of a VLSI Instruction Cache for a RISC ISCA 1983: 108-116

Coauthor Index

1Sarita V. Adve [8] [9] [12] [13] [14] [18] [44] [45]
2Vikram S. Adve [12]
3Anastasia Ailamaki (Anastassia Ailamaki, Ailamaki Natassa) [46] [53] [55] [58]
4Alaa R. Alameldeen [53] [66] [76]
5David I. August [65]
6Donna Baglio [79]
7Bradford M. Beckmann [76]
8E. Ender Bilir [49]
9Jesse D. Bingham [78]
10Jayaram Bobba [81] [83] [88] [90] [93] [96]
11Rastislav Bodík [61] [67] [70] [72] [73] [77] [82] [85]
12Harold W. Cain [57]
13Jason F. Cantin [54]
14Satish Chandra [22]
15Sashikanth Chandrasekaran [32]
16Andrew A. Chien [37]
17Trishul M. Chilimbi [48] [51]
18Derek Chiou [84]
19Anne Condon [39] [47] [50] [59]
20David J. DeWitt [46] [55] [58]
21Ross M. Dickson [49] [53]
22Stark C. Draper [94]
23Korbin Van Dyke [1]
24Susan J. Eggers [3]
25Hesham El-Rewini [27]
26Joel S. Emer [84]
27Babak Falsafi [22] [24] [33] [41]
28Brian A. Fields [61] [67] [72] [73]
29Phil Garrison [1]
30Jean-Luc Gaudiot [79]
31Kourosh Gharachorloo [14]
32Garth A. Gibson [3]
33Neelam Goyal [96]
34Jack Grimes [27]
35Anoop Gupta [14]
36Mary W. Hall [79]
37Pacia J. Harper [68]
38John L. Hennessy [14]
39Derek Hower [86] [95]
40Alan J. Hu [78]
41Ying Hu [49]
42Liviu Iftode [35]
43Richard Jooss [6]
44Randy H. Katz [3]
45Richard E. Kessler [6] [10] [15] [23]
46Yousef Y. A. Khalidi (M. Yousef Amin Khalidi) [29]
47Yul H. Kim [11]
48Shing I. Kong [16]
49Phillip A. Laplante [27]
50James R. Larus [7] [17] [20] [21] [22] [24] [27] [30] [31] [34] [41] [48] [51] [56]
51Alvin R. Lebeck [6] [21] [22] [24]
52James C. Lewis [21] [22]
53Ted G. Lewis [27]
54Kai Li [35]
55Ben Liblit [83]
56David J. Lilja [65]
57Dimitris Lioupis [1]
58Mikko H. Lipasti [57]
59Joe Marks [79]
60Milo M. K. Martin [53] [57] [59] [62] [63] [64] [66] [68] [69] [74] [76] [78]
61Margaret Martonosi [65]
62Michael R. Marty [76] [78] [80] [89] [90] [92]
63Carl J. Mauer [53] [60] [66]
64Bertrand Meyer [27]
65Barton P. Miller [13]
66Kevin E. Moore [53] [66] [76] [81] [83] [86] [88] [90] [93]
67Michelle J. Moravan [81] [83]
68Shubhendu S. Mukherjee [22] [25] [30] [33] [37] [38] [40] [43]
69Robert H. B. Netzer [13]
70Chris J. Newburn [67] [72] [73]
71Chris Nyberg [1]
72Vijay S. Pai [65]
73Subbarao Palacharla [22]
74Yale N. Patt [84]
75David A. Patterson [1] [3] [16]
76Joan M. Pendleton [3]
77Manoj Plakal [39] [47] [49] [50] [53] [59]
78Gustav Pomberger [27]
79Mike Potel [27]
80Andreas Farid Pour [19]
81Dave Power [27]
82Wolfgang Pree [27]
83Paolo Prinetto [79]
84Steven K. Reinhardt [17] [20] [21] [22] [24]
85Scott A. Ritchie [3]
86Anne Rogers [24] [30]
87Joel H. Saltz [30]
88Daniel Sanchez [87]
89Karthikeyan Sankaralingam [87]
90Eric Schnarr [56]
91Ioannis Schoinas [24] [35] [41] [42]
92Resit Sendag [84]
93Shamik D. Sharma [30]
94Jaswinder Pal Singh [35]
95Tim Sippel [1]
96Kevin Skadron [65]
97Marios Skounakis [55]
98Alan Jay Smith [2] [5]
99Daniel J. Sorin [39] [47] [49] [50] [53] [57] [59] [62] [63] [66] [68] [71] [74] [76]
100Michael M. Swift [83] [86] [88] [90] [93] [96]
101Madhusudhan Talluri [16] [26] [29]
102George S. Taylor [3]
103Brian R. Toonen [35]
104Mary K. Vernon [12]
105Ronald J. Vetter [27]
106Haris Volos [86] [88] [90] [93]
107Bruce W. Weide [27]
108David A. Wood [3] [10] [11] [17] [20] [21] [22] [23] [24] [27] [28] [31] [33] [34] [35] [41] [46] [49] [53] [59] [60] [62] [63] [64] [66] [68] [69] [71] [74] [76] [78] [81] [83] [86] [88] [90] [93] [96]
109Min Xu [66] [70] [76] [77] [82] [85]
110Luke Yen [83] [87] [88] [90] [93] [94]
111Joshua J. Yi [84]
112Yuanyuan Zhou [35]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)