dblp.uni-trier.dewww.uni-trier.de

Stefanos Kaxiras

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
28EEGeorgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras: Recruiting Decay for Dynamic Power Reduction in Set-Associative Caches. T. HiPEAC 2: 4-22 (2009)
2008
27EEFrederico Pratas, Georgi Gaydadjiev, Mladen Berekovic, Leonel Sousa, Stefanos Kaxiras: Low power microarchitecture with instruction reuse. Conf. Computing Frontiers 2008: 149-158
2007
26EEJuan M. Cebrian, Juan L. Aragón, José M. García, Stefanos Kaxiras: Adaptive VP decay: making value predictors leakage-efficient designs for high performance processors. Conf. Computing Frontiers 2007: 113-122
25EEGeorgios Keramidas, Polychronis Xekalakis, Stefanos Kaxiras: Applying Decay to Reduce Dynamic Power in Set-Associative Caches. HiPEAC 2007: 38-53
24EEGeorgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras: Cache replacement based on reuse-distance prediction. ICCD 2007: 245-250
2006
23EEGeorgios Keramidas, Konstantinos Aisopos, Stefanos Kaxiras: Dynamic Dictionary-Based Data Compression for Level-1 Caches. ARCS 2006: 114-129
22EEJürgen Teich, Stefanos Kaxiras, Toomas P. Plaks, Krisztián Flautner: Topic 18: Embedded Parallel Systems. Euro-Par 2006: 1179
21EEPavlos Petoumenos, Georgios Keramidas, Håkan Zeffer, Stefanos Kaxiras, Erik Hagersten: Modeling Cache Sharing on Chip Multiprocessor Architectures. IISWC 2006: 160-171
20EEGeorgios Keramidas, Pavlos Petoumenos, Stefanos Kaxiras, Alexandros Antonopoulos, Dimitrios N. Serpanos: Preventing Denial-of-Service Attacks in Shared CMP Caches. SAMOS 2006: 359-372
2005
19EEStefanos Kaxiras, Georgios Keramidas: IPStash: a set-associative memory approach for efficient IP-lookup. INFOCOM 2005: 992-1001
18EEStefanos Kaxiras, Polychronis Xekalakis, Georgios Keramidas: A simple mechanism to adapt leakage-control policies to temperature. ISLPED 2005: 54-59
2004
17EEStefanos Kaxiras, Polychronis Xekalakis: 4T-decay sensors: a new class of small, fast, robust, and low-power, temperature/leakage sensors. ISLPED 2004: 108-113
16EEPhilo Juang, Kevin Skadron, Margaret Martonosi, Zhigang Hu, Douglas W. Clark, Phil Diodato, Stefanos Kaxiras: Implementing branch-predictor decay using quasi-static memory cells. TACO 1(2): 180-219 (2004)
2003
15EEZhigang Hu, Margaret Martonosi, Stefanos Kaxiras: TCP: Tag Correlating Prefetchers. HPCA 2003: 317-326
14EEStefanos Kaxiras, Georgios Keramidas: IPStash: a Power-Efficient Memory Architecture for IP-lookup. MICRO 2003: 361-372
2002
13EEZhigang Hu, Margaret Martonosi, Stefanos Kaxiras: Timekeeping in the Memory System: Predicting and Optimizing Memory Behavior. ISCA 2002: 209-220
12EEZhigang Hu, Philo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Margaret Martonosi, Douglas W. Clark: Managing leakage for transient data: decay and quasi-static 4T memory cells. ISLPED 2002: 52-55
11EEZhigang Hu, Stefanos Kaxiras, Margaret Martonosi: Let caches decay: reducing leakage energy via exploitation of cache generational behavior. ACM Trans. Comput. Syst. 20(2): 161-190 (2002)
10EEPhilo Juang, Phil Diodato, Stefanos Kaxiras, Kevin Skadron, Zhigang Hu, Margaret Martonosi, Douglas W. Clark: Implementing Decay Techniques using 4T Quasi-Static Memory Cells. Computer Architecture Letters 1: (2002)
2001
9EEStefanos Kaxiras, Girija J. Narlikar, Alan D. Berenbaum, Zhigang Hu: Comparing power consumption of an SMT and a CMP DSP for mobile phone workloads. CASES 2001: 211-220
8EEStefanos Kaxiras, Zhigang Hu, Margaret Martonosi: Cache decay: exploiting generational behavior to reduce cache leakage power. ISCA 2001: 240-251
2000
7EEStefanos Kaxiras, Cliff Young: Coherence Communication Prediction in Shared-Memory Multiprocessors. HPCA 2000: 156-167
6EEStefanos Kaxiras, Zhigang Hu, Girija J. Narlikar, Rae McLellan: Cache-Line Decay: A Mechanism to Reduce Cache Leakage Power. PACS 2000: 82-96
1999
5EEStefanos Kaxiras, James R. Goodman: Improving CC-NUMA Performance Using Instruction-Based Prediction. HPCA 1999: 161-
1998
4EEStefanos Kaxiras, Stein Gjessing, James R. Goodman: A Study of Three Dynamic Approaches to Handle Widely Shared Data in Shared-memory Multiprocessors. International Conference on Supercomputing 1998: 457-464
1997
3EEDoug Burger, Stefanos Kaxiras, James R. Goodman: DataScalar Architectures. ISCA 1997: 338-349
1996
2EEStefanos Kaxiras: Kiloprocessor Extensions to SCI. IPPS 1996: 166-172
1EEStefanos Kaxiras, James R. Goodman: The GLOW Cache Coherence Protocol Extensions for Widely Shared Data. International Conference on Supercomputing 1996: 35-43

Coauthor Index

1Konstantinos Aisopos [23]
2Alexandros Antonopoulos [20]
3Juan L. Aragón [26]
4Mladen Berekovic [27]
5Alan D. Berenbaum [9]
6Doug Burger [3]
7Juan M. Cebrian [26]
8Douglas W. Clark [10] [12] [16]
9Phil Diodato [10] [12] [16]
10Krisztián Flautner [22]
11José M. García [26]
12Georgi Gaydadjiev (G. N. Gaydadjiev) [27]
13Stein Gjessing [4]
14James R. Goodman [1] [3] [4] [5]
15Erik Hagersten [21]
16Zhigang Hu [6] [8] [9] [10] [11] [12] [13] [15] [16]
17Philo Juang [10] [12] [16]
18Georgios Keramidas [14] [18] [19] [20] [21] [23] [24] [25] [28]
19Margaret Martonosi [8] [10] [11] [12] [13] [15] [16]
20Rae McLellan [6]
21Girija J. Narlikar [6] [9]
22Pavlos Petoumenos [20] [21] [24]
23Toomas P. Plaks [22]
24Frederico Pratas [27]
25Dimitrios N. Serpanos [20]
26Kevin Skadron [10] [12] [16]
27Leonel Sousa (Leonel Augusto Sousa) [27]
28Jürgen Teich [22]
29Polychronis Xekalakis [17] [18] [25] [28]
30Cliff Young [7]
31Håkan Zeffer [21]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)