dblp.uni-trier.dewww.uni-trier.de

Vigyan Singhal

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
38EEAbhishek Datta, Vigyan Singhal: Formal Verification of a Public-Domain DDR2 Controller Design. VLSI Design 2008: 475-480
2003
37EEVigyan Singhal, Carl Pixley, Adnan Aziz, Shaz Qadeer, Robert K. Brayton: Sequential optimization in the absence of global reset. ACM Trans. Design Autom. Electr. Syst. 8(2): 222-251 (2003)
36EEAnuj Goel, Khurram Sajid, Hai Zhou, Adnan Aziz, Vigyan Singhal: BDD Based Procedures for a Theory of Equality with Uninterpreted Functions. Formal Methods in System Design 22(3): 205-224 (2003)
35EEJason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz: An Abstraction Algorithm for the Verification of Level-Sensitive Latch-Based Netlists. Formal Methods in System Design 23(1): 39-65 (2003)
2002
34 Adnan Aziz, Thomas R. Shiple, Vigyan Singhal, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Formula-Dependent Equivalence for Compositional CTL Model Checking. Formal Methods in System Design 21(2): 193-224 (2002)
2001
33EETai-Hung Liu, Adnan Aziz, Vigyan Singhal: Optimizing designs containing black boxes. ACM Trans. Design Autom. Electr. Syst. 6(4): 591-601 (2001)
32EEVigyan Singhal, Carl Pixley, Adnan Aziz, Robert K. Brayton: Theory of safe replacements for sequential circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 20(2): 249-265 (2001)
31EEMalay K. Ganai, Praveen Yalagandula, Adnan Aziz, Andreas Kuehlmann, Vigyan Singhal: SIVA: A System for Coverage-Directed State Space Search. J. Electronic Testing 17(1): 11-27 (2001)
2000
30 Jason Baumgartner, Anson Tripp, Adnan Aziz, Vigyan Singhal, Flemming Andersen: An Abstraction Algorithm for the Verification of Generalized C-Slow Designs. CAV 2000: 5-19
29EECongguang Yang, Maciej J. Ciesielski, Vigyan Singhal: BDS: a BDD-based logic optimization system. DAC 2000: 92-97
28EEPraveen Yalagandula, Adnan Aziz, Vigyan Singhal: Automatic Lighthouse Generation for Directed State Space Search. DATE 2000: 237-242
27EEAdnan Aziz, Kumud Sanwal, Vigyan Singhal, Robert K. Brayton: Model-checking continous-time Markov chains. ACM Trans. Comput. Log. 1(1): 162-170 (2000)
1999
26EEJason Baumgartner, Tamir Heyman, Vigyan Singhal, Adnan Aziz: Model Checking the IBM Gigahertz Processor: An Abstraction Algorithm for High-Performance Netlists. CAV 1999: 72-83
25EERajeev K. Ranjan, Vigyan Singhal, Fabio Somenzi, Robert K. Brayton: Using Combinational Verification for Sequential Circuits. DATE 1999: 138-144
24EECongguang Yang, Maciej J. Ciesielski, Vigyan Singhal: BDD Decomposition for Efficient Logic Synthesis. ICCD 1999: 626-
23EECarl Pixley, Vigyan Singhal: Model Checking: A Hardware Design Perspective. STTT 2(3): 288-306 (1999)
1998
22 Anuj Goel, Khurram Sajid, Hai Zhou, Adnan Aziz, Vigyan Singhal: BDD Based Procedures for a Theory of Equality with Uninterpreted Functions. CAV 1998: 244-255
21EERajeev K. Ranjan, Vigyan Singhal, Fabio Somenzi, Robert K. Brayton: On the optimization power of retiming and resynthesis transformations. ICCAD 1998: 402-407
20EEJerry R. Burch, Vigyan Singhal: Robust latch mapping for combinational equivalence checking. ICCAD 1998: 563-569
19EEJerry R. Burch, Vigyan Singhal: Tight integration of combinational verification methods. ICCAD 1998: 570-576
1997
18EETai-Hung Liu, Khurram Sajid, Adnan Aziz, Vigyan Singhal: Optimizing Designs Containing Black Boxes. DAC 1997: 113-116
17EEAmit Mehrotra, Shaz Qadeer, Vigyan Singhal, Robert K. Brayton, Adnan Aziz, Alberto L. Sangiovanni-Vincentelli: Sequential optimisation without state space exploration. ICCAD 1997: 208-215
16EEVigyan Singhal, Alan Jay Smith: Analysis of Locking Behavior in Three Real Database Systems. VLDB J. 6(1): 40-52 (1997)
1996
15 Adnan Aziz, Kumud Sanwal, Vigyan Singhal, Robert K. Brayton: Verifying Continuous Time Markov Chains. CAV 1996: 269-276
14EEVigyan Singhal, Sharad Malik, Robert K. Brayton: The case for retiming with explicit reset circuitry. ICCAD 1996: 618-625
13EEShaz Qadeer, Robert K. Brayton, Vigyan Singhal: Latch Redundancy Removal Without Global Reset. ICCD 1996: 432-439
1995
12 Adnan Aziz, Vigyan Singhal, Felice Balarin: It Usually Works: The Temporal Logic of Stochastic Systems. CAV 1995: 155-165
11EEVigyan Singhal, Carl Pixley, Richard L. Rudell, Robert K. Brayton: The Validity of Retiming Sequential Circuits. DAC 1995: 316-321
10EEVigyan Singhal, Carl Pixley, Adnan Aziz, Robert K. Brayton: Exploiting power-up delay for sequential optimization. EURO-DAC 1995: 54-59
9EEGitanjali Swamy, Robert K. Brayton, Vigyan Singhal: Incremental methods for FSM traversal. ICCD 1995: 590-
8 Vigyan Singhal, Robert K. Brayton, Carl Pixley: Power-Up Delay for Retiming Digital Circuits. ISCAS 1995: 566-569
1994
7 Vigyan Singhal, Carl Pixley: The Verifiacation Problem for Safe Replaceability. CAV 1994: 311-323
6 Adnan Aziz, Thomas R. Shiple, Vigyan Singhal: Formula-Dependent Equivalence for Compositional CTL Model Checking. CAV 1994: 324-337
5EEAdnan Aziz, Felice Balarin, Szu-Tsung Cheng, Ramin Hojati, Timothy Kam, Sriram C. Krishnan, Rajeev K. Ranjan, Thomas R. Shiple, Vigyan Singhal, Serdar Tasiran, Huey-Yih Wang, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: HSIS: A BDD-Based Environment for Formal Verification. DAC 1994: 454-459
4 Adnan Aziz, Vigyan Singhal, Felice Balarin, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Equivalences for Fair Kripke Structures. ICALP 1994: 364-375
3EECarl Pixley, Vigyan Singhal, Adnan Aziz, Robert K. Brayton: Multi-level synthesis for safe replaceability. ICCAD 1994: 442-449
2 Adnan Aziz, Vigyan Singhal, Gitanjali Swamy, Robert K. Brayton: Minimizing Interacting Finite State Machines: A Compositional Approach to Language to Containment. ICCD 1994: 255-261
1993
1 Vigyan Singhal, Yosinori Watanabe, Robert K. Brayton: Heuristic Minimization of Synchronous Relations. ICCD 1993: 428-433

Coauthor Index

1Flemming Andersen [30]
2Adnan Aziz [2] [3] [4] [5] [6] [10] [12] [15] [17] [18] [22] [26] [27] [28] [30] [31] [32] [33] [34] [35] [36] [37]
3Felice Balarin [4] [5] [12]
4Jason Baumgartner [26] [30] [35]
5Robert K. Brayton [1] [2] [3] [4] [5] [8] [9] [10] [11] [13] [14] [15] [17] [21] [25] [27] [32] [34] [37]
6Jerry R. Burch [19] [20]
7Szu-Tsung Cheng [5]
8Maciej J. Ciesielski [24] [29]
9Abhishek Datta [38]
10Malay K. Ganai [31]
11Anuj Goel [22] [36]
12Tamir Heyman [26] [35]
13Ramin Hojati [5]
14Timothy Kam [5]
15Sriram C. Krishnan [5]
16Andreas Kuehlmann [31]
17Tai-Hung Liu [18] [33]
18Sharad Malik [14]
19Amit Mehrotra [17]
20Carl Pixley [3] [7] [8] [10] [11] [23] [32] [37]
21Shaz Qadeer [13] [17] [37]
22Rajeev K. Ranjan [5] [21] [25]
23Richard L. Rudell [11]
24Khurram Sajid [18] [22] [36]
25Alberto L. Sangiovanni-Vincentelli [4] [5] [17] [34]
26Kumud Sanwal [15] [27]
27Thomas R. Shiple [5] [6] [34]
28Alan Jay Smith [16]
29Fabio Somenzi [21] [25]
30Gitanjali Swamy [2] [9]
31Serdar Tasiran [5]
32Anson Tripp [30]
33Huey-Yih Wang [5]
34Yosinori Watanabe [1]
35Praveen Yalagandula [28] [31]
36Congguang Yang [24] [29]
37Hai Zhou [22] [36]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)