dblp.uni-trier.dewww.uni-trier.de

Ruby B. Lee

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
61EETimothy E. Levin, Jeffrey S. Dwoskin, Ganesha Bhaskara, Thuy D. Nguyen, Paul C. Clark, Ruby B. Lee, Cynthia E. Irvine, Terry Benzel: Securing the Dissemination of Emergency Response Data with an Integrated Hardware-Software Architecture. TRUST 2009: 133-152
60EEReouven Elbaz, David Champagne, Catherine H. Gebotys, Ruby B. Lee, Nachiketh R. Potlapally, Lionel Torres: Hardware Mechanisms for Memory Authentication: A Survey of Existing Techniques and Engines. Transactions on Computational Science 4: 1-22 (2009)
2008
59EEYedidya Hilewitz, Cédric Lauradoux, Ruby B. Lee: Bit matrix multiplication in commodity processors. ASAP 2008: 7-12
58EEYedidya Hilewitz, Yiqun Lisa Yin, Ruby B. Lee: Accelerating the Whirlpool Hash Function Using Parallel Table Lookup and Fast Cyclical Permutation. FSE 2008: 173-188
57EETian Lan, Xiaojun Lin, Mung Chiang, Ruby B. Lee: How Bad is Suboptimal Rate Allocation? INFOCOM 2008: 321-325
56EEDavid Champagne, Reouven Elbaz, Ruby B. Lee: The Reduced Address Space (RAS) for Application Memory Authentication. ISC 2008: 47-63
55EEZhenghong Wang, Ruby B. Lee: A novel cache architecture with enhanced performance and security. MICRO 2008: 83-93
54EEYedidya Hilewitz, Ruby B. Lee: Fast Bit Gather, Bit Scatter and Bit Permutation Instructions for Commodity Microprocessors. Signal Processing Systems 53(1-2): 145-169 (2008)
2007
53EEJeffrey S. Dwoskin, Ruby B. Lee: Hardware-rooted trust for secure key management and transient trust. ACM Conference on Computer and Communications Security 2007: 389-400
52EEReouven Elbaz, David Champagne, Ruby B. Lee, Lionel Torres, Gilles Sassatelli, Pierre Guillemin: TEC-Tree: A Low-Cost, Parallelizable Tree for Efficient Defense Against Memory Replay Attacks. CHES 2007: 289-302
51EEJeffrey S. Dwoskin, Dahai Xu, Jianwei Huang, Mung Chiang, Ruby B. Lee: Secure Key Management Architecture Against Sensor-Node Fabrication Attacks. GLOBECOM 2007: 166-171
50EEYedidya Hilewitz, Ruby B. Lee: Performing Advanced Bit Manipulations Efficiently in General-Purpose Processors. IEEE Symposium on Computer Arithmetic 2007: 251-260
49EEZhenghong Wang, Jing Deng, Ruby B. Lee: Mutual Anonymous Communications: A New Covert Channel Based on Splitting Tree MAC. INFOCOM 2007: 2531-2535
48EEZhenghong Wang, Ruby B. Lee: New cache designs for thwarting software cache-based side channel attacks. ISCA 2007: 494-505
47EENachiketh R. Potlapally, Anand Raghunathan, Srivaths Ravi, Niraj K. Jha, Ruby B. Lee: Aiding Side-Channel Attacks on Cryptographic Software With Satisfiability-Based Analysis. IEEE Trans. VLSI Syst. 15(4): 465-470 (2007)
46EENachiketh R. Potlapally, Srivaths Ravi, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha: Configuration and Extension of Embedded Processors to Optimize IPSec Protocol Execution. IEEE Trans. VLSI Syst. 15(5): 605-609 (2007)
2006
45EEZhenghong Wang, Ruby B. Lee: Covert and Side Channels Due to Processor Architecture. ACSAC 2006: 473-482
44EEYedidya Hilewitz, Ruby B. Lee: Fast Bit Compression and Expansion with Parallel Extract and Parallel Deposit Instructions. ASAP 2006: 65-72
43EENachiketh R. Potlapally, Anand Raghunathan, Srivaths Ravi, Niraj K. Jha, Ruby B. Lee: Satisfiability-based framework for enabling side-channel attacks on cryptographic software. DATE Designers' Forum 2006: 18-23
42EENachiketh R. Potlapally, Srivaths Ravi, Anand Raghunathan, Ruby B. Lee, Niraj K. Jha: Impact of Configurability and Extensibility on IPSec Protocol Execution on Embedded Processors. VLSI Design 2006: 299-304
2005
41EEJohn Patrick McGregor, Yiqun Lisa Yin, Ruby B. Lee: A Traitor Tracing Scheme Based on RSA for Fast Decryption. ACNS 2005: 56-74
40EEA. Murat Fiskiran, Ruby B. Lee: On-Chip Lookup Tables for Fast Symmetric-Key Encryption. ASAP 2005: 356-363
39EERuby B. Lee: Processor Architecture for Trustworthy Computers. Asia-Pacific Computer Systems Architecture Conference 2005: 1-2
38EEZhenghong Wang, Ruby B. Lee: Capacity Estimation of Non-Synchronous Covert Channels. ICDCS Workshops 2005: 170-176
37EEZhenghong Wang, Ruby B. Lee: New Constructive Approach to Covert Channel Modeling and Channel Capacity Estimation. ISC 2005: 498-505
36EERuby B. Lee, Peter C. S. Kwan, John Patrick McGregor, Jeffrey S. Dwoskin, Zhenghong Wang: Architecture for Protecting Critical Secrets in Microprocessors. ISCA 2005: 2-13
35EEA. Murat Fiskiran, Ruby B. Lee: Fast Parallel Table Lookups to Accelerate Symmetric-Key Cryptography. ITCC (1) 2005: 526-531
34EERuby B. Lee, Xiao Yang, Zhijie Shi: Single-Cycle Bit Permutations with MOMR Execution. J. Comput. Sci. Technol. 20(5): 577-585 (2005)
33EEJohn Patrick McGregor, Ruby B. Lee: Protecting cryptographic keys and computations via virtual secure coprocessing. SIGARCH Computer Architecture News 33(1): 16-26 (2005)
32EERuby B. Lee, A. Murat Fiskiran: PLX: An Instruction Set Architecture and Testbed for Multimedia Information Processing. VLSI Signal Processing 40(1): 85-108 (2005)
2004
31EEA. Murat Fiskiran, Ruby B. Lee: Evaluating Instruction Set Extensions for Fast Arithmetic on Binary Finite Fields. ASAP 2004: 125-136
30EERuby B. Lee, Xiao Yang, Zhijie Shi: Validating Word-Oriented Processors for Bit and Multi-word Operations. Asia-Pacific Computer Systems Architecture Conference 2004: 473-488
29EESrivaths Ravi, Paul C. Kocher, Ruby B. Lee, Gary McGraw, Anand Raghunathan: Security as a new dimension in embedded system design. DAC 2004: 753-760
28EEA. Murat Fiskiran, Ruby B. Lee: Runtime Execution Monitoring (REM) to Detect and Prevent Malicious Code Execution. ICCD 2004: 452-457
27 Xiao Yang, Ruby B. Lee: PLX FP: an efficient floating-point instruction set for 3D graphics. ICME 2004: 137-140
26 Stephen M. Specht, Ruby B. Lee: Distributed Denial of Service: Taxonomies of Attacks, Tools, and Countermeasures. ISCA PDCS 2004: 543-550
25EERuby B. Lee, Zhijie Shi, Yiqun Lisa Yin, Ronald L. Rivest, Matthew J. B. Robshaw: On Permutation Operations in Cipher Design. ITCC (2) 2004: 569-577
2003
24EERuby B. Lee: Challenges in the Design of Security-Aware Processors. ASAP 2003: 2-
23EEZhijie Shi, Xiao Yang, Ruby B. Lee: Arbitrary Bit Permutations in One or Two Cycles. ASAP 2003: 237-
22EERuby B. Lee, David K. Karig, John Patrick McGregor, Zhijie Shi: Enlisting Hardware Architecture to Thwart Malicious Code Injection. SPC 2003: 237-252
21EECharles R. Moore, Kevin W. Rudd, Ruby B. Lee, Pradip Bose: Guest Editors' Introduction: Micro's Top Picks from Microarchitecture Conferences. IEEE Micro 23(6): 8-10 (2003)
20EEJohn Patrick McGregor, Ruby B. Lee: Architectural techniques for accelerating subword permutations with repetitions. IEEE Trans. VLSI Syst. 11(3): 325-335 (2003)
2002
19EERuby B. Lee, A. Murat Fiskiran, Zhijie Shi, Xiao Yang: Refining Instruction Set Architecture for High-Performance Multimedia Processing in Constrained Environments. ASAP 2002: 253-264
18EEZhijie Shi, Ruby B. Lee: Subword Sorting with Versatile Permutation Instructions. ICCD 2002: 234-241
2001
17 John Patrick McGregor, Ruby B. Lee: Architectural Enhancements for Fast Subword Permutations with Repetitions in Cryptographic Applications. ICCD 2001: 453-461
16 A. Murat Fiskiran, Ruby B. Lee: Performance Impact of Addressing Modes on Encryption Algorithms. ICCD 2001: 542-545
15EERuby B. Lee, A. Murat Fiskiran, Abdulla Bubsha: Multimedia Instructions In IA-64. ICME 2001
14EERuby B. Lee: Computer Arithmetic-A Processor Architect's Perspective. IEEE Symposium on Computer Arithmetic 2001: 3-
13EERuby B. Lee, Zhijie Shi, Xiao Yang: Cryptography Efficient Permutation Instructions for Fast Software. IEEE Micro 21(6): 56-69 (2001)
2000
12EEZhijie Shi, Ruby B. Lee: Bit Permutation Instructions for Accelerating Software Cryptography. ASAP 2000: 138-148
11EERuby B. Lee: Subword Permutation Instructions for Two-Dimensional Multimedia Processing in MicroSIMD Architectures. ASAP 2000: 3-14
10EEXiao Yang, Ruby B. Lee: Fast Subword Permutation Instructions Using Omega and Flip Network Stages. ICCD 2000: 15-21
9EEJohn Patrick McGregor, Ruby B. Lee: Performance Impact of Data Compression on Virtual Private Network Transactions. LCN 2000: 500-510
8 Daniel F. Zucker, Ruby B. Lee, Michael J. Flynn: Hardware and software cache prefetching techniques for MPEG benchmarks. IEEE Trans. Circuits Syst. Video Techn. 10(5): 782-796 (2000)
1997
7EEAlice Yu, Ruby B. Lee, Michael J. Flynn: Performance Enhancement of H.263 Encoder Based on Zero Coefficient Prediction. ACM Multimedia 1997: 21-29
6 Thomas M. Conte, Pradeep K. Dubey, Matthew D. Jennings, Ruby B. Lee, Alex Peleg, Salliah Rathnam, Michael S. Schlansker, Peter Song, Andrew Wolfe: Challenges to Combining General-Purpose and Multimedia Processors. IEEE Computer 30(12): 33-37 (1997)
1996
5 Ruby B. Lee, Jerome C. Huck: 64-bit and Multimedia Extensions in the PA-RISC 2.0 Architecture. COMPCON 1996: 152-160
4 Daniel F. Zucker, Michael J. Flynn, Ruby B. Lee: Improving Performance for MPEG Players. COMPCON 1996: 327-332
3 Daniel F. Zucker, Michael J. Flynn, Ruby B. Lee: A Comparison of Hardware Prefetching Techniques for Mulimedia Benchmarks. ICMCS 1996: 236-244
1995
2 Ruby B. Lee: Realtime MPEG Video via Software Decompression oon a PA-RISC Processor. COMPCON 1995: 186-192
1989
1 Ruby B. Lee: Precision Architecture. IEEE Computer 22(1): 78-91 (1989)

Coauthor Index

1Terry Benzel [61]
2Ganesha Bhaskara [61]
3Pradip Bose [21]
4Abdulla Bubsha [15]
5David Champagne [52] [56] [60]
6Mung Chiang [51] [57]
7Paul C. Clark [61]
8Thomas M. Conte [6]
9Jing Deng [49]
10Pradeep K. Dubey [6]
11Jeffrey S. Dwoskin [36] [51] [53] [61]
12Reouven Elbaz [52] [56] [60]
13A. Murat Fiskiran [15] [16] [19] [28] [31] [32] [35] [40]
14Michael J. Flynn [3] [4] [7] [8]
15Catherine H. Gebotys [60]
16Pierre Guillemin [52]
17Yedidya Hilewitz [44] [50] [54] [58] [59]
18Jianwei Huang [51]
19Jerome C. Huck [5]
20Cynthia E. Irvine [61]
21Matthew D. Jennings [6]
22Niraj K. Jha [42] [43] [46] [47]
23David K. Karig [22]
24Paul C. Kocher [29]
25Peter C. S. Kwan [36]
26Tian Lan [57]
27Cédric Lauradoux [59]
28Timothy E. Levin [61]
29Xiaojun Lin [57]
30Gary McGraw [29]
31John Patrick McGregor [9] [17] [20] [22] [33] [36] [41]
32Charles R. Moore [21]
33Thuy D. Nguyen [61]
34Alex Peleg [6]
35Nachiketh R. Potlapally [42] [43] [46] [47] [60]
36Anand Raghunathan [29] [42] [43] [46] [47]
37Salliah Rathnam [6]
38Srivaths Ravi [29] [42] [43] [46] [47]
39Ronald L. Rivest [25]
40Matthew J. B. Robshaw [25]
41Kevin W. Rudd [21]
42Gilles Sassatelli [52]
43Michael S. Schlansker [6]
44Zhijie Jerry Shi (Zhijie Shi) [12] [13] [18] [19] [22] [23] [25] [30] [34]
45Peter Song [6]
46Stephen M. Specht [26]
47Lionel Torres [52] [60]
48Zhenghong Wang [36] [37] [38] [45] [48] [49] [55]
49Andrew Wolfe [6]
50Dahai Xu [51]
51Xiao Yang [10] [13] [19] [23] [27] [30] [34]
52Yiqun Lisa Yin [25] [41] [58]
53Alice Yu [7]
54Daniel F. Zucker [3] [4] [8]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)