dblp.uni-trier.dewww.uni-trier.de

Kunhyuk Kang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
15EEJing Li, Kunhyuk Kang, Kaushik Roy: Variation Estimation and Compensation Technique in Scaled LTPS TFT Circuits for Low-Power Low-Cost Applications. IEEE Trans. on CAD of Integrated Circuits and Systems 28(1): 46-59 (2009)
2008
14EEKunhyuk Kang, Saakshi Gangwal, Sang Phill Park, Kaushik Roy: NBTI induced performance degradation in logic and memory circuits: how effectively can we approach a reliability solution? ASP-DAC 2008: 726-731
2007
13EEJing Li, Kunhyuk Kang, Aditya Bansal, Kaushik Roy: High Performance and Low Power Electronics on Flexible Substrate. DAC 2007: 274-275
12EEKunhyuk Kang, Kee-Jong Kim, Ahmad E. Islam, Muhammad Ashraful Alam, Kaushik Roy: Characterization and Estimation of Circuit Reliability Degradation under NBTI using On-Line IDDQ Measurement. DAC 2007: 358-363
11EEKunhyuk Kang, Kee-Jong Kim, Kaushik Roy: Variation Resilient Low-Power Circuit Design Methodology using On-Chip Phase Locked Loop. DAC 2007: 934-939
10EEKunhyuk Kang, Sang Phill Park, Kaushik Roy, Muhammad Ashraful Alam: Estimation of statistical variation in temporal NBTI degradation and its impact on lifetime circuit performance. ICCAD 2007: 730-734
9EEAmit Agarwal, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy: Device-Aware Yield-Centric Dual-Vt Design Under Parameter Variations in Nanoscale Technologies. IEEE Trans. VLSI Syst. 15(6): 660-671 (2007)
8EEKunhyuk Kang, Haldun Kufluoglu, Kaushik Roy, Muhammad Ashraful Alam: Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis. IEEE Trans. on CAD of Integrated Circuits and Systems 26(10): 1770-1781 (2007)
7EEBipul Chandra Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy: Negative Bias Temperature Instability: Estimation and Design for Improved Reliability of Nanoscale Circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 26(4): 743-751 (2007)
2006
6EEBipul Chandra Paul, Kunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy: Temporal performance degradation under NBTI: estimation and design for improved reliability of nanoscale circuits. DATE 2006: 780-785
5EEKunhyuk Kang, Haldun Kufluoglu, Muhammad Ashraful Alam, Kaushik Roy: Efficient Transistor-Level Sizing Technique under Temporal Performance Degradation due to NBTI. ICCD 2006
4EEKunhyuk Kang, Bipul C. Paul, Kaushik Roy: Statistical timing analysis using levelized covariance propagation considering systematic and random variations of process parameters. ACM Trans. Design Autom. Electr. Syst. 11(4): 848-879 (2006)
2005
3EEKunhyuk Kang, Bipul Chandra Paul, Kaushik Roy: Statistical Timing Analysis using Levelized Covariance Propagation. DATE 2005: 764-769
2 Amit Agarwal, Kunhyuk Kang, Kaushik Roy: Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations. ICCAD 2005: 736-741
1EEAmit Agarwal, Kunhyuk Kang, Swarup Bhunia, James D. Gallagher, Kaushik Roy: Effectiveness of low power dual-Vt designs in nano-scale technologies under process parameter variations. ISLPED 2005: 14-19

Coauthor Index

1Amit Agarwal [1] [2] [9]
2Muhammad Ashraful Alam [5] [6] [7] [8] [10] [12]
3Aditya Bansal [13]
4Swarup Bhunia [1] [9]
5James D. Gallagher [1] [9]
6Saakshi Gangwal [14]
7Ahmad E. Islam [12]
8Kee-Jong Kim [11] [12]
9Haldun Kufluoglu [5] [6] [7] [8]
10Jing Li [13] [15]
11Sang Phill Park [10] [14]
12Bipul Chandra Paul (Bipul C. Paul) [3] [4] [6] [7]
13Kaushik Roy [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)