dblp.uni-trier.dewww.uni-trier.de

Kuo-Liang Cheng

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
19EEChih-Yen Lo, Chen-Hsing Wang, Kuo-Liang Cheng, Jing-Reng Huang, Chih-Wea Wang, Shin-Moe Wang, Cheng-Wen Wu: STEAC: A Platform for Automatic SOC Test Integration. IEEE Trans. VLSI Syst. 15(5): 541-545 (2007)
18EEJen-Chieh Yeh, Kuo-Liang Cheng, Yung-Fa Chou, Cheng-Wen Wu: Flash Memory Testing and Built-In Self-Diagnosis With March-Like Test Algorithms. IEEE Trans. on CAD of Integrated Circuits and Systems 26(6): 1101-1113 (2007)
2005
17EEChih-Pin Su, Chen-Hsing Wang, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu: Design and test of a scalable security processor. ASP-DAC 2005: 372-375
2004
16EEKuo-Liang Cheng, Jing-Reng Huang, Chih-Wea Wang, Chih-Yen Lo, Li-Ming Denq, Chih-Tsun Huang, Shin-Wei Hung, Jye-Yuan Lee: An SOC Test Integration Platform and Its Industrial Realization. ITC 2004: 1213-1222
2003
15EEKuo-Liang Cheng, Chih-Wea Wang, Jih-Nung Lee, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu: FAME: A Fault-Pattern Based Memory Failure Analysis Framework. ICCAD 2003: 595-598
14EEChih-Wea Wang, Kuo-Liang Cheng, Jih-Nung Lee, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu, Frank Huang, Hong-Tzer Yang: Fault Pattern Oriented Defect Diagnosis for Memories. ITC 2003: 29-38
13EEChih-Wea Wang, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu: Test and Diagnosis of Word-Oriented Multiport Memories. VTS 2003: 248-253
2002
12EEChih-Wea Wang, Jing-Reng Huang, Yen-Fu Lin, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu, Youn-Long Lin: Test Scheduling of BISTed Memory Cores for SOC. Asian Test Symposium 2002: 356-
11EEHuan-Shan Hsu, Jing-Reng Huang, Kuo-Liang Cheng, Chih-Wea Wang, Chih-Tsun Huang, Cheng-Wen Wu, Youn-Long Lin: Test Scheduling and Test Access Architecture Optimization for System-on-Chip. Asian Test Symposium 2002: 411-
10EEJen-Chieh Yeh, Chi-Feng Wu, Kuo-Liang Cheng, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu: Flash Memory Built-In Self-Test Using March-Like Algorithm. DELTA 2002: 137-141
9EEKuo-Liang Cheng, Jen-Chieh Yeh, Chih-Wea Wang, Chih-Tsun Huang, Cheng-Wen Wu: RAMSES-FT: A Fault Simulator for Flash Memory Testing and Diagnostics. VTS 2002: 281-288
8EEKuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu: Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories. IEEE Trans. on CAD of Integrated Circuits and Systems 21(11): 1328-1336 (2002)
7EEChi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Cheng-Wen Wu: Fault simulation and test algorithm generation for random accessmemories. IEEE Trans. on CAD of Integrated Circuits and Systems 21(4): 480-490 (2002)
2001
6EEKuo-Liang Cheng, Chia-Ming Hsueh, Jing-Reng Huang, Jen-Chieh Yeh, Chih-Tsun Huang, Cheng-Wen Wu: Automatic Generation of Memory Built-in Self-Test Cores for System-on-Chip. Asian Test Symposium 2001: 91-96
5EEChi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Chih-Wea Wang, Cheng-Wen Wu: Simulation-Based Test Algorithm Generation and Port Scheduling for Multi-Port Memories. DAC 2001: 301-306
4 Jin-Fu Li, Kuo-Liang Cheng, Chih-Tsun Huang, Cheng-Wen Wu: March-based RAM diagnosis algorithms for stuck-at and coupling faults. ITC 2001: 758-767
3EEKuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu: Efficient Neighborhood Pattern-Sensitive Fault Test Algorithms for Semiconductor Memories. VTS 2001: 225-230
2000
2 Chi-Feng Wu, Chih-Tsun Huang, Chih-Wea Wang, Kuo-Liang Cheng, Cheng-Wen Wu: Error Catch and Analysis for Semiconductor Memories Using March Tests. ICCAD 2000: 468-471
1EEChi-Feng Wu, Chih-Tsun Huang, Kuo-Liang Cheng, Cheng-Wen Wu: Simulation-Based Test Algorithm Generation for Random Access Memories. VTS 2000: 291-296

Coauthor Index

1Yung-Fa Chou [10] [14] [15] [18]
2Li-Ming Denq [16]
3Huan-Shan Hsu [11]
4Chia-Ming Hsueh [6]
5Chih-Tsun Huang [1] [2] [4] [5] [6] [7] [9] [10] [11] [12] [13] [14] [15] [16] [17]
6Frank Huang [14]
7Jing-Reng Huang [6] [11] [12] [16] [19]
8Shin-Wei Hung [16]
9Jih-Nung Lee [14] [15]
10Jye-Yuan Lee [16]
11Jin-Fu Li [4]
12Yen-Fu Lin [12]
13Youn-Long Lin [11] [12]
14Chih-Yen Lo [16] [19]
15Chih-Pin Su [17]
16Ming-Fu Tsai [3] [8]
17Chen-Hsing Wang [17] [19]
18Chih-Wea Wang [2] [5] [9] [11] [12] [13] [14] [15] [16] [19]
19Shin-Moe Wang [19]
20Cheng-Wen Wu [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [17] [18] [19]
21Chi-Feng Wu [1] [2] [5] [7] [10]
22Hong-Tzer Yang [14]
23Jen-Chieh Yeh [6] [9] [10] [18]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)