dblp.uni-trier.dewww.uni-trier.de

Rei-Fu Huang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
14EERei-Fu Huang, Chao-Hsun Chen, Cheng-Wen Wu: Economic Aspects of Memory Built-in Self-Repair. IEEE Design & Test of Computers 24(2): 164-172 (2007)
13EERei-Fu Huang, Jin-Fu Li, Jen-Chieh Yeh, Cheng-Wen Wu: Raisin: Redundancy Analysis Algorithm Simulation. IEEE Design & Test of Computers 24(4): 386-396 (2007)
2005
12EEJin-Fu Li, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu: A built-in self-repair design for RAMs with 2-D redundancy. IEEE Trans. VLSI Syst. 13(6): 742-745 (2005)
2004
11EERei-Fu Huang, Yan-Ting Lai, Yung-Fa Chou, Cheng-Wen Wu: SRAM delay fault modeling and test algorithm development. ASP-DAC 2004: 104-109
10EEChih-Tsun Huang, Jen-Chieh Yeh, Yuan-Yuan Shih, Rei-Fu Huang, Cheng-Wen Wu: On Test and Diagnostics of Flash Memories. Asian Test Symposium 2004: 260-265
9EERei-Fu Huang, Chin-Lung Su, Cheng-Wen Wu, Shen-Tien Lin, Kun-Lun Luo, Yeong-Jar Chang: Fail Pattern Identification for Memory Built-In Self-Repair. Asian Test Symposium 2004: 366-371
8EEChin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu, Chien-Chung Hung, Ming-Jer Kao, Yeong-Jar Chang, Wen Ching Wu: MRAM Defect Analysis and Fault Modeli. ITC 2004: 124-133
7EELi-Ming Denq, Rei-Fu Huang, Cheng-Wen Wu, Yeong-Jar Chang, Wen Ching Wu: A Parallel Built-in Diagnostic Scheme for Multiple Embedded Memories. MTDT 2004: 65-69
2003
6EERei-Fu Huang, Yung-Fa Chou, Cheng-Wen Wu: Defect Oriented Fault Analysis for SRAM. Asian Test Symposium 2003: 256-261
5EEChin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu: A Processor-Based Built-In Self-Repair Design for Embedded Memories. Asian Test Symposium 2003: 366-371
4EEJin-Fu Li, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu, Peir-Yuan Tsai, Archer Hsu, Eugene Chow: A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy. ITC 2003: 393-402
3EERei-Fu Huang, Li-Ming Denq, Cheng-Wen Wu, Jin-Fu Li: A Testability-Driven Optimizer and Wrapper Generator for Embedded Memories. MTDT 2003: 53-
2002
2EERei-Fu Huang, Jin-Fu Li, Jen-Chieh Yeh, Cheng-Wen Wu: A Simulator for E aluating Redundancy Analysis Algorithms of Repairable Embedded Memories. IOLTW 2002: 262-
1EERei-Fu Huang, Jin-Fu Li, Jen-Chieh Yeh, Cheng-Wen Wu: A Simulator for Evaluating Redundancy Analysis Algorithms of Repairable Embedded Memories. MTDT 2002: 68-

Coauthor Index

1Yeong-Jar Chang [7] [8] [9]
2Chao-Hsun Chen [14]
3Yung-Fa Chou [6] [11]
4Eugene Chow [4]
5Li-Ming Denq [3] [7]
6Archer Hsu [4]
7Chih-Tsun Huang [10]
8Chien-Chung Hung [8]
9Ming-Jer Kao [8]
10Yan-Ting Lai [11]
11Jin-Fu Li [1] [2] [3] [4] [12] [13]
12Shen-Tien Lin [9]
13Kun-Lun Luo [9]
14Yuan-Yuan Shih [10]
15Chin-Lung Su [5] [8] [9]
16Peir-Yuan Tsai [4]
17Cheng-Wen Wu [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14]
18Wen Ching Wu [7] [8]
19Jen-Chieh Yeh [1] [2] [4] [10] [12] [13]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)