dblp.uni-trier.dewww.uni-trier.de

Pedro López

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
77EEManuel V. Hermenegildo, Francisco Bueno, Manuel Carro, Pedro López, José F. Morales, Germán Puebla: An Overview of the Ciao Multiparadigm Language and Program Development Environment and Its Design Philosophy. Concurrency, Graphs and Models 2008: 209-237
76EENoel Tomás, Julio Sahuquillo, Salvador Petit, Pedro López: Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. Euro-Par 2008: 317-326
75EECrispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato: Reducing Packet Dropping in a Bufferless NoC. Euro-Par 2008: 899-909
74EEJoan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato: On the Influence of the Packet Marking and Injection Control Schemes in Congestion Management for MINs. Euro-Par 2008: 930-939
73EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato: The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. IPDPS 2008: 1-11
72EEFrancisco Gilabert Villamón, Simone Medardoni, Davide Bertozzi, Luca Benini, María Engracia Gómez, Pedro López, José Duato: Exploring High-Dimensional Topologies for NoC Design Through an Integrated Analysis and Synthesis Framework. NOCS 2008: 107-116
71EECrispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato: Exploiting Wiring Resources on Interconnection Network: Increasing Path Diversity. PDP 2008: 20-29
2007
70EEMarina Alonso, Salvador Coll, Vicente Santonja, Juan Miguel Martínez, Pedro López, José Duato: Power-Aware Fat-Tree Networks Using On/Off Links. HPCC 2007: 472-483
69EECrispín Gómez Requena, Francisco Gilabert Villamón, María Engracia Gómez, Pedro López, José Duato: Deterministic versus Adaptive Routing in Fat-Trees. IPDPS 2007: 1-8
68EECrispín Gómez Requena, María Engracia Gómez, Pedro López, José Duato: An Efficient Fault-Tolerant Routing Methodology for Fat-Tree Interconnection Networks. ISPA 2007: 509-522
67EEJose Flich, Andres Mejia, Pedro López, José Duato: Region-Based Routing: An Efficient Routing Mechanism to Tackle Unreliable Hardware in Network on Chips. NOCS 2007: 183-194
66EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato: VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. PACT 2007: 429
65EEJoan-Lluís Ferrer, Elvira Baydal, Antonio Robles, Pedro López, José Duato: Congestion Management in MINs through Marked and Validated Packets. PDP 2007: 254-261
64EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López: Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors. SBAC-PAD 2007: 62-68
2006
63EEGaspar Mora, Jose Flich, José Duato, Pedro López, Elvira Baydal, Olav Lysne: Towards an efficient switch architecture for high-radix switches. ANCS 2006: 11-20
62EEFrancisco Gilabert Villamón, María Engracia Gómez, Pedro López, José Duato: On the Influence of the Selection Function on the Performance of Fat-Trees. Euro-Par 2006: 864-873
61EEMarina Alonso, Salvador Coll, Juan Miguel Martínez, Vicente Santonja, Pedro López, José Duato: Dynamic power saving in fat-tree interconnection networks using on/off links. IPDPS 2006
60EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López: Applying the zeros switch-off technique to reduce static energy in data caches. SBAC-PAD 2006: 133-140
59EEMaría Engracia Gómez, Nils Agne Nordbotten, Jose Flich, Pedro López, Antonio Robles, José Duato, Tor Skeie, Olav Lysne: A Routing Methodology for Achieving Fault Tolerance in Direct Networks. IEEE Trans. Computers 55(4): 400-415 (2006)
58EEMaría Engracia Gómez, Pedro López, José Duato: FIR: An efficient routing strategy for tori and meshes. J. Parallel Distrib. Comput. 66(7): 907-921 (2006)
2005
57 Josep Fernández, Pedro López, Joan Oliva: A Distributed Multirobot System Based On Edutainment Robots. ICRA 2005: 4260-4265
56 Josep Fernández, Pedro López, Joan Oliva: A Distributed Multirobot System Based on Edutainment Robots. ICRA 2005: 4271-4276
55EEMaría Engracia Gómez, Pedro López, José Duato: A Memory-Effective Routing Strategy for Regular Interconnection Networks. IPDPS 2005
54EEJuan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato, Michihiro Koibuchi: In-Order Packet Delivery in Interconnection Networks using Adaptive Routing. IPDPS 2005
53EEMarina Alonso, Juan Miguel Martínez, Vicente Santonja, Pedro López, José Duato: Power Saving in Regular Interconnection Networks Built with High-Degree Switches. IPDPS 2005
52EEMaría Engracia Gómez, Pedro López, José Duato: A Memory-Effective Fault-Tolerant Routing Strategy for Direct Interconnection Networks. ISPDC 2005: 341-348
51EEElvira Baydal, Pedro López, José Duato: A Family of Mechanisms for Congestion Control in Wormhole Networks. IEEE Trans. Parallel Distrib. Syst. 16(9): 772-784 (2005)
50EEMichihiro Koibuchi, Juan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato: Enforcing in-order packet delivery in system area networks with adaptive routing. J. Parallel Distrib. Comput. 65(10): 1223-1236 (2005)
2004
49EEMarina Alonso, Juan Miguel Martínez, Vicente Santonja, Pedro López: Reducing Power Consumption in Interconnection Networks by Dynamically Adjusting Link Width. Euro-Par 2004: 882-890
48EEMaría Engracia Gómez, José Duato, Jose Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Tor Skeie, Olav Lysne: A New Adaptive Fault-Tolerant Routing Methodology for Direct Networks. HiPC 2004: 462-473
47EETor Skeie, Olav Lysne, Jose Flich, Pedro López, Antonio Robles, José Duato: LASH-TOR: A Generic Transition-Oriented Routing Algorithm. ICPADS 2004: 595-604
46EEMaría Engracia Gómez, Jose Flich, Pedro López, Antonio Robles, José Duato, Nils Agne Nordbotten, Olav Lysne, Tor Skeie: An Effective Fault-Tolerant Routing Methodology for Direct Networks. ICPP 2004: 222-231
45EEJosé Miguel Montañana, Jose Flich, Antonio Robles, Pedro López, José Duato: A Transition-Based Fault-Tolerant Routing Methodology for InfiniBand Networks. IPDPS 2004
44EENils Agne Nordbotten, María Engracia Gómez, Jose Flich, Pedro López, Antonio Robles, Tor Skeie, Olav Lysne, José Duato: A Fully Adaptive Fault-Tolerant Routing Methodology Based on Intermediate Nodes. NPC 2004: 341-356
43EEMaría Engracia Gómez, José Duato, Jose Flich, Pedro López, Antonio Robles, Nils Agne Nordbotten, Olav Lysne, Tor Skeie: An Efficient Fault-Tolerant Routing Methodology for Meshes and Tori. Computer Architecture Letters 3: (2004)
2003
42EEPedro Morillo, Pedro López, Juan M. Orduña, Marcos Fernández: A New Genetic Approach for the Partitioning Problem in Distributed Virtual Environment Systems. CAEPIA 2003: 76-85
41EEPedro López, Jose Flich, Antonio Robles: Low-Fragmentation Mapping Strategies for Linear Forwarding Tables in InfiniBandTM. Euro-Par 2003: 947-957
40EEElvira Baydal, Pedro López: A Robust Mecahnism for Congestion Control: INC. Euro-Par 2003: 958-968
39EEJosé Carlos Sancho, Antonio Robles, Pedro López, Jose Flich, José Duato: Routing in InfiniBandTM Torus Network Topologie. ICPP 2003: 509-518
38EEJosé Carlos Sancho, Juan Carlos Martínez, Antonio Robles, Pedro López, Jose Flich, José Duato: Performance Evaluation of COWs under Real Parallel Application. IPDPS 2003: 202
37EEJuan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato: Supporting Fully Adaptive Routing in InfiniBand Networks. IPDPS 2003: 44
36EEMaría Engracia Gómez, Jose Flich, Antonio Robles, Pedro López, José Duato: VOQSW: A Methodology to Reduce HOL Blocking in InfiniBand Networks. IPDPS 2003: 46
35EEJuan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato: Supporting Adaptive Routing in InfiniBand Networks. PDP 2003: 165-172
34EEJose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki: Applying In-Transit Buffers to Boost the Performance of Networks with Source Routing. IEEE Trans. Computers 52(9): 1134-1153 (2003)
33EEJuan M. Martínez-Rubio, Pedro López, José Duato: FC3D: Flow Control-Based Distributed Deadlock Detection Mechanism for True Fully Adaptive Routing in Wormhole Networks. IEEE Trans. Parallel Distrib. Syst. 14(8): 765-779 (2003)
32EEJuan Carlos Martínez, Jose Flich, Antonio Robles, Pedro López, José Duato: Supporting adaptive routing in IBA switches. Journal of Systems Architecture 49(10-11): 441-456 (2003)
2002
31EEMaría Engracia Gómez, Jose Flich, Antonio Robles, Pedro López, José Duato: Evaluation of Routing Algorithms for InfiniBand Networks (Research Note). Euro-Par 2002: 775-780
30EEElvira Baydal, Pedro López, José Duato: Congestion Control Based on Transmission Times. Euro-Par 2002: 781-790
29EEElvira Baydal, Pedro López, José Duato: Avoiding Network Congestion with Local Information. ISHPC 2002: 35-48
28EEJose Flich, Pedro López, José Carlos Sancho, Antonio Robles, José Duato: Improving InfiniBand Routing through Multiple Virtual Networks. ISHPC 2002: 49-63
27EEElvira Baydal, Pedro López, José Duato: Increasing the Adaptivity of Routing Algorithms for k-ary n-cubes. PDP 2002: 455-462
26EEJose Flich, Manuel P. Malumbres, Pedro López, José Duato: Removing the Latency Overhead of the ITB Mechanism in COWs with Source Routing. PDP 2002: 463-470
25EEJose Flich, Pedro López, Manuel P. Malumbres, José Duato: Boosting the Performance of Myrinet Networks. IEEE Trans. Parallel Distrib. Syst. 13(11): 1166-1182 (2002)
24EEJose Flich, Pedro López, Manuel P. Malumbres, José Duato: Boosting the Performance of Myrinet Networks. IEEE Trans. Parallel Distrib. Syst. 13(7): 693-709 (2002)
2001
23EEPedro López, Jose Flich, José Duato: Deadlock-Free Routing in InfiniBand through Destination Renaming. ICPP 2001: 427-436
22 Salvador Coll, Jose Flich, Manuel P. Malumbres, Pedro López, José Duato, Francisco J. Mora: A First Implementation of In-Transit Buffers on Myrinet GM Software. IPDPS 2001: 162
21 Jose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki: Improving Network Performance by Reducing Network Contention in Source-Based COWs with a Low Path-Computation Overhead. IPDPS 2001: 70
20EEJuan M. Martínez-Rubio, Pedro López, José Duato: A Cost-Effective Approach to Deadlock Handling in Wormhole Networks. IEEE Trans. Parallel Distrib. Syst. 12(7): 716-729 (2001)
2000
19EEJose Flich, Pedro López, Manuel P. Malumbres, José Duato: Improving the Performance of Regular Networks with Source Routing. ICPP 2000: 353-361
18EEJose Flich, Manuel P. Malumbres, Pedro López, José Duato: Performance evaluation of a new routing strategy for irregular networks with source routing. ICS 2000: 34-43
17EEJose Flich, Manuel P. Malumbres, Pedro López, José Duato: Improving Routing Performance in Myrinet Networks. IPDPS 2000: 27-32
16EEElvira Baydal, Pedro López, José Duato: A Simple and Efficient Mechanism to Prevent Saturation in Wormhole Networks. IPDPS 2000: 617-622
15EEJuan Carlos Martínez, Federico Silla, Pedro López, José Duato: On the Influence of the Selection Function on the Performance of Networks of Workstations. ISHPC 2000: 292-299
14EEJose Flich, Pedro López, Manuel P. Malumbres, José Duato, Tomas Rokicki: Combining In-Transit Buffers with Optimized Routing Schemes to Boost the Performance of Networks with Source Routing. ISHPC 2000: 300-309
1999
13EEJuan Miguel Martínez, Pedro López, José Duato: Impact of Buffer Size on the Efficiency of Deadlock Detection. HPCA 1999: 315-
12EEJose Flich, Manuel P. Malumbres, Pedro López, José Duato: Performance Evaluation of Networks of Workstations with Hardware Shared Memory Model Using Execution-Driven Simulation. ICPP 1999: 146-153
1998
11EEJose Flich, Pedro López, Manuel P. Malumbres, José Duato: Edinet: An Execution Driven Interconnection Network Simulator for DSM Systems. Computer Performance Evaluation (Tools) 1998: 336-339
10EEPedro López, Juan Miguel Martínez, José Duato: A Very Efficient Distributed Deadlock Detection Mechanism for Wormhole Networks. HPCA 1998: 57-
9EEPedro López, Juan Miguel Martínez, José Duato: DRIL: Dynamically Reduced Message Injection Limitation Mechanism for Wormhole Networks. ICPP 1998: 535-542
1997
8 Federico Silla, Manuel P. Malumbres, Antonio Robles, Pedro López, José Duato: Efficient Adaptive Routing in Networks of Workstations with Irregular Topology. CANPC 1997: 46-60
7EEJosé Duato, Pedro López, Sudhakar Yalamanchili: Deadlock- and Livelock-Free Routing Protocols for Wave Switching. IPPS 1997: 570-577
6EEPedro López, Juan Miguel Martínez, José Duato, Fabrizio Petrini: On the Reduction of Deadlock Frequency by Limiting Message Injection in Wormhole Networks. PCRCW 1997: 295-307
1996
5 José Duato, Pedro López, Federico Silla, Sudhakar Yalamanchili: A High Performance Router Architecture for Interconnection Networks. ICPP, Vol. 1 1996: 61-68
4EERosa Alcover, Pedro López, José Duato, Luisa Zunica: Interconnection Network Design: A Statistical Analysis of Interactions between Factors. PDP 1996: 211-218
1995
3 Pedro López, José Duato: Deadlock-Free Fully-Adaptive Minimal Routing Algorithms: Limitations and Solutions. Computers and Artificial Intelligence 14(2): (1995)
1994
2 José Duato, Pedro López: Performance Evaluation of Adaptive Routing Algorithms for k-ary-n-cubes. PCRCW 1994: 45-59
1993
1 Pedro López, José Duato: Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions. PARLE 1993: 684-687

Coauthor Index

1Rosa Alcover [4]
2Marina Alonso [49] [53] [61] [70]
3Elvira Baydal [16] [27] [29] [30] [40] [51] [63] [65] [74]
4Luca Benini [72]
5Davide Bertozzi [72]
6Francisco Bueno [77]
7Manuel Carro [77]
8Salvador Coll [22] [61] [70]
9José Duato [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [25] [26] [27] [28] [29] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [43] [44] [45] [46] [47] [48] [50] [51] [52] [53] [54] [55] [58] [59] [61] [62] [63] [65] [66] [67] [68] [69] [70] [71] [72] [73] [74] [75]
10Josep Fernández [56] [57]
11Marcos Fernández [42]
12Joan-Lluís Ferrer [65] [74]
13Jose Flich [11] [12] [14] [17] [18] [19] [21] [22] [23] [24] [25] [26] [28] [31] [32] [34] [35] [36] [37] [38] [39] [41] [43] [44] [45] [46] [47] [48] [50] [54] [59] [63] [67]
14María Engracia Gómez [31] [36] [43] [44] [46] [48] [52] [55] [58] [59] [62] [68] [69] [71] [72] [75]
15Manuel V. Hermenegildo [77]
16Michihiro Koibuchi [50] [54]
17Olav Lysne [43] [44] [46] [47] [48] [59] [63]
18Manuel P. Malumbres [8] [11] [12] [14] [17] [18] [19] [21] [22] [24] [25] [26] [34]
19Juan Carlos Martínez [15] [32] [35] [37] [38] [50] [54]
20Juan Miguel Martínez [6] [9] [10] [13] [49] [53] [61] [70]
21Juan M. Martínez-Rubio [20] [33]
22Simone Medardoni [72]
23Andres Mejia [67]
24José Miguel Montañana [45]
25Francisco J. Mora [22]
26Gaspar Mora [63]
27José F. Morales [77]
28Pedro Morillo [42]
29Nils Agne Nordbotten [43] [44] [46] [48] [59]
30Joan Oliva [56] [57]
31Juan M. Orduña [42]
32Salvador Petit [60] [64] [66] [73] [76]
33Fabrizio Petrini [6]
34Germán Puebla (German Puebla) [77]
35Crispín Gómez Requena [68] [69] [71] [75]
36Antonio Robles [8] [28] [31] [32] [35] [36] [37] [38] [39] [41] [43] [44] [45] [46] [47] [48] [50] [54] [59] [65] [74]
37Tomas Rokicki [14] [21] [34]
38Julio Sahuquillo [60] [64] [66] [73] [76]
39José Carlos Sancho [28] [38] [39]
40Vicente Santonja [49] [53] [61] [70]
41Federico Silla [5] [8] [15]
42Tor Skeie [43] [44] [46] [47] [48] [59]
43Noel Tomás [76]
44Rafael Ubal [60] [64] [66] [73]
45Francisco Gilabert Villamón [62] [69] [72]
46Sudhakar Yalamanchili [5] [7]
47Luisa Zunica [4]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)