dblp.uni-trier.dewww.uni-trier.de

Federico Silla

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
27EEHector Montaner, Federico Silla, Vicente Santonja, José Duato: Network Reconfiguration Suitability for Scientific Applications. ICPP 2008: 312-319
2004
26EEJuan M. Orduña, Federico Silla, José Duato: On the development of a communication-aware task mapping technique. Journal of Systems Architecture 50(4): 207-220 (2004)
2003
25EERomán García, José Duato, Federico Silla: LSOM: A Link State Protocol Over Mac Addresses for Metropolitan Backbones Using Optical Ethernet Switches. NCA 2003: 315-321
2002
24EEShubhendu S. Mukherjee, Federico Silla, Peter J. Bannon, Joel S. Emer, Steven Lang, David Webb: A comparative study of arbitration algorithms for the Alpha 21364 pipelined router. ASPLOS 2002: 223-234
23 Juan M. Orduña, Federico Silla, José Duato: A Clustering Method for Modeling the Communication Requirements of Message-Passing Applications. Computers and Artificial Intelligence 21(1): (2002)
2001
22EEXavier Molero, Federico Silla, Vicente Santonja, José Duato: A Tool for the Design and Evaluation of Fibre Channel Storage Area Networks. Annual Simulation Symposium 2001: 133-
21EEXavier Molero, Federico Silla, Vicente Santonja, José Duato: Improving Network Performance by Efficiently Dealing with Short Control Messages in Fibre Channel SANs. Euro-Par 2001: 901-910
20 Xavier Molero, Federico Silla, Vicente Santonja, José Duato: On the Switch Architecture for Fibre Channel Storage Area Networks. ICPADS 2001: 484-491
19EEJuan M. Orduña, Federico Silla, José Duato: A New Task Mapping Technique for Communication-Aware Scheduling Strategies. ICPP Workshops 2001: 349-354
18 Xavier Molero, Federico Silla, Vicente Santonja, José Duato: On the Interconnection Topology for Storage Area Networks. IPDPS 2001: 162
17EEXavier Molero, Federico Silla, Vicente Santonja, José Duato: On the Scalability of Topologies for Storage Area Networks in Building Environments. NCA 2001: 332-335
16 Juan M. Orduña, Federico Silla, José Duato: Towards a Communication-Aware Task Scheduling Strategy for Heterogeneous Systems. Computers and Artificial Intelligence 20(3): (2001)
15EEJosé Duato, Antonio Robles, Federico Silla, Ramón Beivide: A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOW Environment. J. Parallel Distrib. Comput. 61(2): 224-253 (2001)
2000
14EEXavier Molero, Federico Silla, Vicente Santonja: Modeling and Simulation of a Network of Workstations with Wormhole Switching. Annual Simulation Symposium 2000: 299-
13EEXavier Molero, Federico Silla, Vicente Santonja, José Duato: Performance Sensitivity of Routing Algorithms to Failures in Networks of Worksations. ISHPC 2000: 230-242
12EEJuan Carlos Martínez, Federico Silla, Pedro López, José Duato: On the Influence of the Selection Function on the Performance of Networks of Workstations. ISHPC 2000: 292-299
11EEXavier Molero, Federico Silla, Vicente Santonja, José Duato: On the Effect of Link Failures in Fibre Channel Storage Area Networks. ISPAN 2000: 102-113
10EEXavier Molero, Federico Silla, Vicente Santonja, José Duato: Modeling and Simulation of Storage Area Networks. MASCOTS 2000: 307-314
9EEFederico Silla, José Duato: High-Performance Routing in Networks of Workstations with Irregular Topology. IEEE Trans. Parallel Distrib. Syst. 11(7): 699-719 (2000)
8EEFederico Silla, José Duato: On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. IEEE Trans. Parallel Distrib. Syst. 11(8): 813-828 (2000)
1999
7 Federico Silla, José Duato: Is It Worth the Flexibility Provided by Irregular Topologies in Networks of Workstations? CANPC 1999: 47-61
6EEJosé Duato, Antonio Robles, Federico Silla, Ramón Beivide: A Comparison of Router Architectures for Virtual Cut-Through and Wormhole Switching in a NOWEnvironment. IPPS/SPDP 1999: 240-247
1998
5EEFederico Silla, Antonio Robles, José Duato: Improving Performance of Networks of Workstations by using Disha Concurrent. ICPP 1998: 80-87
4EEFederico Silla, Manuel P. Malumbres, José Duato, Donglai Dai, Dhabaleswar K. Panda: Impact of Adaptivity on the Behaviour of Networks of Workstations under Bursty Traffic. ICPP 1998: 88-95
1997
3 Federico Silla, Manuel P. Malumbres, Antonio Robles, Pedro López, José Duato: Efficient Adaptive Routing in Networks of Workstations with Irregular Topology. CANPC 1997: 46-60
2EEFederico Silla, José Duato: On the Use of Virtual Channels in Networks of Workstations with Irregular Topology. PCRCW 1997: 203-216
1996
1 José Duato, Pedro López, Federico Silla, Sudhakar Yalamanchili: A High Performance Router Architecture for Interconnection Networks. ICPP, Vol. 1 1996: 61-68

Coauthor Index

1Peter J. Bannon [24]
2Ramón Beivide [6] [15]
3Donglai Dai [4]
4José Duato [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [15] [16] [17] [18] [19] [20] [21] [22] [23] [25] [26] [27]
5Joel S. Emer [24]
6Román García [25]
7Steven Lang [24]
8Pedro López [1] [3] [12]
9Manuel P. Malumbres [3] [4]
10Juan Carlos Martínez [12]
11Xavier Molero [10] [11] [13] [14] [17] [18] [20] [21] [22]
12Hector Montaner [27]
13Shubhendu S. Mukherjee [24]
14Juan M. Orduña [16] [19] [23] [26]
15Dhabaleswar K. Panda [4]
16Antonio Robles [3] [5] [6] [15]
17Vicente Santonja [10] [11] [13] [14] [17] [18] [20] [21] [22] [27]
18David Webb [24]
19Sudhakar Yalamanchili [1]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)