dblp.uni-trier.dewww.uni-trier.de

Salvador Petit

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
13EENoel Tomás, Julio Sahuquillo, Salvador Petit, Pedro López: Reducing the Number of Bits in the BTB to Attack the Branch Predictor Hot-Spot. Euro-Par 2008: 317-326
12EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato: The impact of out-of-order commit in coarse-grain, fine-grain and simultaneous multithreaded architectures. IPDPS 2008: 1-11
11EED. Bautista, Julio Sahuquillo, H. Hassan, Salvador Petit, José Duato: A simple power-aware scheduling for multicore systems when running real-time applications. IPDPS 2008: 1-7
2007
10EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López, José Duato: VB-MT: Design Issues and Performance of the Validation Buffer Microarchitecture for Multithreaded Processors. PACT 2007: 429
9EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López: Multi2Sim: A Simulation Framework to Evaluate Multicore-Multithreaded Processors. SBAC-PAD 2007: 62-68
2006
8EERafael Ubal, Julio Sahuquillo, Salvador Petit, Pedro López: Applying the zeros switch-off technique to reduce static energy in data caches. SBAC-PAD 2006: 133-140
7EESalvador Petit, Noel Tomás, Julio Sahuquillo, Ana Pont: An execution-driven simulation tool for teaching cache memories in introductory computer organization courses. WCAE 2006: 4
6EESalvador Petit, Julio Sahuquillo, Ana Pont, David R. Kaeli: Addressing a workload characterization study to the design of consistency protocols. The Journal of Supercomputing 38(1): 49-72 (2006)
2005
5EESalvador Petit, Julio Sahuquillo, Jose M. Such, David R. Kaeli: Exploiting temporal locality in drowsy cache policies. Conf. Computing Frontiers 2005: 371-377
4EESalvador Petit, Julio Sahuquillo, Ana Pont: A Comparison Study of the HLRC-DU Protocol versus a HLRC Hardware Assisted Protocol. PDP 2005: 197-204
3EEJulio Sahuquillo, Salvador Petit, Ana Pont, Veljko M. Milutinovic: Exploring the performance of split data cache schemes on superscalar processors and symmetric multiprocessors. Journal of Systems Architecture 51(8): 451-469 (2005)
2004
2EESalvador Petit, Julio Sahuquillo, Ana Pont, David R. Kaeli: Characterizing the Dynamic Behavior of Workload Execution in SVM systems. SBAC-PAD 2004: 230-237
2002
1EESalvador Petit, Julio Sahuquillo, Ana Pont: Characterizing Parallel Workloads to Reduce Multiple Writer Overhead in Shared Virtual Memory Systems. PDP 2002: 261-268

Coauthor Index

1D. Bautista [11]
2José Duato [10] [11] [12]
3H. Hassan [11]
4David R. Kaeli [2] [5] [6]
5Pedro López [8] [9] [10] [12] [13]
6Veljko M. Milutinovic [3]
7Ana Pont [1] [2] [3] [4] [6] [7]
8Julio Sahuquillo [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13]
9Jose M. Such [5]
10Noel Tomás [7] [13]
11Rafael Ubal [8] [9] [10] [12]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)