dblp.uni-trier.dewww.uni-trier.de

Naotake Kamiura

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
54EETadashi Kunieda, Teijiro Isokawa, Ferdinand Peper, Ayumu Saitoh, Naotake Kamiura, Nobuyuki Matsui: Reconfiguring Circuits Around Defects in Self-Timed Cellular Automata. ACRI 2008: 200-209
53EETeijiro Isokawa, Ferdinand Peper, Masahiko Mitsui, Jian-Qin Liu, Kenichi Morita, Hiroshi Umeo, Naotake Kamiura, Nobuyuki Matsui: Computing by Swarm Networks. ACRI 2008: 50-59
52EETeijiro Isokawa, Haruhiko Nishimura, Naotake Kamiura, Nobuyuki Matsui: Associative Memory in quaternionic Hopfield Neural Network. Int. J. Neural Syst. 18(2): 135-145 (2008)
2007
51EETeijiro Isokawa, Haruhiko Nishimura, Naotake Kamiura, Nobuyuki Matsui: Dynamics of Discrete-Time Quaternionic Hopfield Neural Networks. ICANN (1) 2007: 848-857
50EETeijiro Isokawa, Haruhiko Nishimura, Naotake Kamiura, Nobuyuki Matsui: A Multilayered Scheme of Bidirectional Associative Memory for Multistable Perception. ICONIP (2) 2007: 759-768
49EEHiroki Urata, Teijiro Isokawa, Yoich Seki, Naotake Kamiura, Nobuyuki Matsui, Hidetoshi Ikeno, Ryohei Kanzaki: Three-Dimensional Classification of Insect Neurons Using Self-organizing Maps. KES (3) 2007: 123-130
48EENaotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: On Two-Pronged Power-Aware Voltage Scheduling for Multi-processor Real-Time Systems. PATMOS 2007: 423-432
47EETeijiro Isokawa, Shin'ya Kowada, Ferdinand Peper, Naotake Kamiura, Nobuyuki Matsui: Online isolation of defects in cellular nanocomputers. Frontiers of Computer Science in China 1(4): 397-406 (2007)
46EEAkitsugu Ohtsuka, Hirotsugu Tanii, Naotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: Self-Organizing Map Based Data Detection of Hematopoietic Tumors. IEICE Transactions 90-A(6): 1170-1179 (2007)
45EEKoichiro Morihiro, Teijiro Isokawa, Haruhiko Nishimura, Masahito Tomimasu, Naotake Kamiura, Nobuyuki Matsui: Reinforcement Learning Scheme for Flocking Behavior Emergence. JACIII 11(2): 155-161 (2007)
44EETeijiro Isokawa, Shin'ya Kowada, Yousuke Takada, Ferdinand Peper, Naotake Kamiura, Nobuyuki Matsui: Defect-Tolerance in Cellular Nanocomputers. New Generation Comput. 25(2): 171-199 (2007)
2006
43EETeijiro Isokawa, Shin'ya Kowada, Ferdinand Peper, Naotake Kamiura, Nobuyuki Matsui: Online Marking of Defective Cells by Random Flies. ACRI 2006: 347-356
42EETeijiro Isokawa, Haruhiko Nishimura, Naotake Kamiura, Nobuyuki Matsui: Fundamental Properties of Quaternionic Hopfield Neural Network. IJCNN 2006: 218-223
2005
41 Akitsugu Ohtsuka, Hirotsugu Tanii, Naotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: On Self-Organizing Map Approaches for Data Detection of Hematopoietic Tumors. CIMCA/IAWTIC 2005: 409-414
40EETeijiro Isokawa, Haruhiko Nishimura, Naotake Kamiura, Nobuyuki Matsui: Perceptual Binding by Coupled Oscillatory Neural Network. ICANN (1) 2005: 139-144
39EEAkitsugu Ohtsuka, Naotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: Self-Organizing Map Based on Block Learning. IEICE Transactions 88-A(11): 3151-3160 (2005)
2004
38EENaotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: On Improvement in Fault Tolerance of Hopfield Neural Networks. Asian Test Symposium 2004: 406-411
37EENaotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: Learning Based on Fault Injection and Weight Restriction for Fault-Tolerant Hopfield Neural Networks. DFT 2004: 339-346
36EENaotake Kamiura, Teijiro Isokawa, Kazuharu Yamato, Nobuyuki Matsui: On Retrieval of Lost Functions for Feedforward Neural Networks Using Re-Learning. KES 2004: 491-497
2002
35EENaotake Kamiura, Kazuharu Yamato, Teijiro Isokawa, Nobuyuki Matsui: Learning-Based On-Line Testing in Feedforward Neural Networks. IOLTW 2002: 180
34EENaotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui: PODEM Based on Static Testability Measures and Dynamic Testability Measures for Multiple-Valued Logic Circuits. ISMVL 2002: 149-155
2001
33EENaotake Kamiura, Yasuyuki Taniguchi, Teijiro Isokawa, Nobuyuki Matsui: An Improvement in Weight-Fault Tolerance of Feedforward Neural Networks. Asian Test Symposium 2001: 359-364
32EENaotake Kamiura, Masashi Tomita, Teijiro Isokawa, Nobuyuki Matsui: On Variable-Shift-Based Fault Compensation of Fuzzy Controllers. DFT 2001: 436-444
31EENaotake Kamiura, Teijiro Isokawa, Nobuyuki Matsui, Kazuharu Yamato: On-Line Multiple-Fault-Detection of Fuzzy Controllers. IOLTW 2001: 202-
30 Naotake Kamiura, Yasuyuki Taniguchi, Nobuyuki Matsui: A Functional Manipulation for Improving Tolerance against Multiple-Valued Weight Faults of Feedforward Neural Networks. ISMVL 2001: 339-344
29EESyoji Kobashi, Naotake Kamiura, Yutaka Hata, Fujio Miyawaki: Volume-quantization-based neural network approach to 3D MR angiography image segmentation. Image Vision Comput. 19(4): 185-193 (2001)
28EENaotake Kamiura, Yutaka Hata: On-line testing in fuzzy controllers. Systems and Computers in Japan 32(8): 63-71 (2001)
2000
27EENaotake Kamiura, Takashi Kodera, Nobuyuki Matsui: Fault tolerant multistage interconnection networks with widely dispersed paths. Asian Test Symposium 2000: 423-428
26EENaotake Kamiura, Takashi Kodera, Nobuyuki Matsui: Design of a Fault Tolerant Multistage Interconnection Network with Parallel Duplicated Switches. DFT 2000: 143-
25EENaotake Kamiura, Masashi Tomita, Teijiro Isokawa, Nobuyuki Matsui: On Realization of Fault-Tolerant Fuzzy Controllers. IOLTW 2000: 185-190
24EENaotake Kamiura, Yutaka Hata, Nobuyuki Matsui: Controllability/Observability Measures for Multiple-Valued Test Generation Based on D-Algorithm. ISMVL 2000: 245-
23EEYutaka Hata, Syoji Kobashi, Naotake Kamiura, Yuri T. Kitamura, Toshio Yanagida: On an Architecture of Medical Image Registration System Based on Multiple-Valued Logic. ISMVL 2000: 273-278
22EESyoji Kobashi, Naotake Kamiura, Yutaka Hata, Fujio Miyawaki: Fuzzy Information Granulation on Blood Vessel Extraction from 3D TOF MRA Image. IJPRAI 14(4): 409-425 (2000)
21EEShoji Hirano, Naotake Kamiura, Nobuyuki Matsui, Yutaka Hata: Hippocampus Extraction Based on Parallel Multiscale Structure Matching. IJPRAI 14(4): 427-439 (2000)
1999
20EEYasuyuki Taniguchi, Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui: Activation Function Manipulation for Fault Tolerant Feedforward Neural Networks. Asian Test Symposium 1999: 203-208
19EESumito Nakano, Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui: Reconfiguration of Two-Dimensional Meshes Embedded in Faulty Hypercubes. DFT 1999: 395-403
18 Katsuki Imai, Naotake Kamiura, Yutaka Hata: An Unsupervised Clustering with Evolutionary Strategy to Estimate the Cluster Number. Fuzzy Days 1999: 99-107
17EESumito Nakano, Naotake Kamiura, Yutaka Hata, Nobuyuki Matsui: Reconfiguration of Two-Dimensional Meshes Embedded in Hypercubes. PRDC 1999: 234-241
1998
16EESumito Nakano, Naotake Kamiura, Yutaka Hata: Fault Tolerance of a Tree-Connected Multiprocessor System and its Arraylike Layout. Asian Test Symposium 1998: 306-
15EEYutaka Hata, Makoto Ishikawa, Naotake Kamiura: Image Segmentation Based on Kleene Algebra. ISMVL 1998: 155-160
14EENaotake Kamiura, Yutaka Hata, Kazuharu Yamato: On Concurrent Tests of Fuzzy Controllers. ISMVL 1998: 356-
1997
13EESyoji Kobashi, Naotake Kamiura, Yutaka Hata, Makoto Ishikawa: Automatic Robust Threshold Finding Aided by Fuzzy Information Granulation. ICIP (1) 1997: 711-714
12EEShoji Hirano, Naotake Kamiura, Yutaka Hata, Makoto Ishikawa: MAGNET: An Active Ditch Extraction Model. ICIP (2) 1997: 124-127
11 Yutaka Hata, Syoji Kobashi, Naotake Kamiura, Makoto Ishikawa: Fuzzy Logic Approach to 3D Magnetic Resonance Image Segmentation. IPMI 1997: 387-392
10EEYutaka Hata, Naotake Kamiura, Kazuharu Yamato: Multiple-Valued Product-of-Sums Expression with Truncated Sum. ISMVL 1997: 103-
9EET. Utsumi, Naotake Kamiura, Yutaka Hata, Kazuharu Yamato: Multiple-Valued Programmable Logic Arrays with Universal Literals. ISMVL 1997: 163-168
8EEYutaka Hata, Kiyoshi Hayase, Takahiro Hozumi, Naotake Kamiura, Kazuharu Yamato: Multiple-Valued Logic Minimization by Genetic Algorithms. ISMVL 1997: 97-102
1996
7EENaotake Kamiura, Yutaka Hata, Kazuharu Yamato: On Design of Fail-Safe Cellular Arrays. Asian Test Symposium 1996: 107-112
1995
6EENaotake Kamiura, Yutaka Hata, Kazuharu Yamato: A cellular array designed from a Multiple-valued Decision Diagram and its fault tests. Asian Test Symposium 1995: 20-
5EEYutaka Hata, Naotake Kamiura, Kazuharu Yamato: On Input Permutation Technique for Multiple-Valued Logic Synthesis. ISMVL 1995: 170-
4EETakahiro Hozumi, Naotake Kamiura, Yutaka Hata, Kazuharu Yamato: Multiple-Valued Logic Design Using Multiple-Valued EXOR. ISMVL 1995: 290-295
1994
3 Naotake Kamiura, Yutaka Hata, Kazuharu Yamato: Design of Fault-Tolerant Cellular Arrays on Multiple-Valued Logic. ISMVL 1994: 297-304
1993
2 Naotake Kamiura, Yutaka Hata, Kazuharu Yamato: A Repairable and Diagnosable Cellular Array on Multiple-Valued Logic. ISMVL 1993: 92-97
1992
1 Naotake Kamiura, Yutaka Hata, Fujio Miyawaki, Kazuharu Yamato: Easily Testable Multiple-Valued Cellular Arrays. ISMVL 1992: 36-42

Coauthor Index

1Yutaka Hata [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [22] [23] [24] [28] [29]
2Kiyoshi Hayase [8]
3Shoji Hirano [12] [21]
4Takahiro Hozumi [4] [8]
5Hidetoshi Ikeno [49]
6Katsuki Imai [18]
7Makoto Ishikawa [11] [12] [13] [15]
8Teijiro Isokawa [25] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54]
9Ryohei Kanzaki [49]
10Yuri T. Kitamura [23]
11Syoji Kobashi [11] [13] [22] [23] [29]
12Takashi Kodera [26] [27]
13Shin'ya Kowada [43] [44] [47]
14Tadashi Kunieda [54]
15Jian-Qin Liu [53]
16Nobuyuki Matsui [17] [19] [20] [21] [24] [25] [26] [27] [30] [31] [32] [33] [34] [35] [36] [37] [38] [39] [40] [41] [42] [43] [44] [45] [46] [47] [48] [49] [50] [51] [52] [53] [54]
17Masahiko Mitsui [53]
18Fujio Miyawaki [1] [22] [29]
19Koichiro Morihiro [45]
20Kenichi Morita [53]
21Sumito Nakano [16] [17] [19]
22Haruhiko Nishimura [40] [42] [45] [50] [51] [52]
23Akitsugu Ohtsuka [39] [41] [46]
24Ferdinand Peper [43] [44] [47] [53] [54]
25Ayumu Saitoh [54]
26Yoich Seki [49]
27Yousuke Takada [44]
28Yasuyuki Taniguchi [20] [30] [33]
29Hirotsugu Tanii [41] [46]
30Masahito Tomimasu [45]
31Masashi Tomita [25] [32]
32Hiroshi Umeo [53]
33Hiroki Urata [49]
34T. Utsumi [9]
35Kazuharu Yamato [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [14] [31] [35] [36]
36Toshio Yanagida [23]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)