dblp.uni-trier.dewww.uni-trier.de

Takahiro Hanyu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
49EEAkihiro Hirosaki, Masatomo Miura, Atsushi Matsumoto, Takahiro Hanyu: Vth-Variation Compensation of Multiple-Valued Current-Mode Circuit Using TMR Devices. ISMVL 2008: 14-19
48EETasuku Nagai, Naoya Onizawa, Takahiro Hanyu: High-Speed Timing Verification Scheme Using Delay Tables for a Large-Scaled Multiple-Valued Current-Mode Circuit. ISMVL 2008: 70-75
47EEHirokatsu Shirahama, Takahiro Hanyu: Design of High-Performance Quaternary Adders Based on Output-Generator Sharing. ISMVL 2008: 8-13
46EEKazuyasu Mizusawa, Naoya Onizawa, Takahiro Hanyu: Power-Aware Asynchronous Peer-to-Peer Duplex Communication System Based on Multiple-Valued One-Phase Signaling. IEICE Transactions 91-C(4): 581-588 (2008)
45EEMasatomo Miura, Takahiro Hanyu: Highly Reliable Multiple-Valued Current-Mode Comparator Based on Active-Load Dual-Rail Operation. IEICE Transactions 91-C(4): 589-594 (2008)
2007
44EES. Matsunaga, Takahiro Hanyu, Hiromitsu Kimura, T. Nakamura, H. Takasu: Implementation of a Standby-Power-Free CAM Based on Complementary Ferroelectric-Capacitor Logic. ASP-DAC 2007: 116-117
43EEHirokatsu Shirahama, Akira Mochizuki, Takahiro Hanyu, Masami Nakajima, Kazutami Arimoto: Design of a Processing Element Based on Quaternary Differential Logic for a Multi-Core SIMD Processor. ISMVL 2007: 43
42EETomohiro Takahashi, Kazuyasu Mizusawa, Takahiro Hanyu: Asynchronous Peer-to-Peer Simplex/Duplex-Compatible Communication System Using a One-Phase Signaling Scheme. ISMVL 2007: 44
41EEAkira Mochizuki, Masatomo Miura, Takahiro Hanyu: High-Performance Multiple-Valued Comparator Based on Active-Load Dual-Rail Differential Logic for Crosstalk-Noise Reduction. ISMVL 2007: 57
40EEAkira Mochizuki, Hirokatsu Shirahama, Takahiro Hanyu: Design and Evaluation of a 54 x 54-bit Multiplier Based on Differential-Pair Circuitry. IEICE Transactions 90-C(4): 683-691 (2007)
2006
39EEAkira Mochizuki, Takeshi Kitamura, Hirokatsu Shirahama, Takahiro Hanyu: Design of a Microprocessor Datapath Using Four-Valued Differential-Pair Circuits. ISMVL 2006: 14
38EEAkira Mochizuki, Takahiro Hanyu: Highly reliable Multiple-Valued Circuit Based on Dual-Rail Differential Logic. ISMVL 2006: 5
37EETakahiro Hanyu: Special Section on Novel Device Architectures and System Integration Technologies. IEICE Transactions 89-C(11): 1491 (2006)
36EENaoya Onizawa, Takahiro Hanyu: Design and Evaluation of a NULL-Convention Circuit Based on Dual-Rail Current-Mode Differential Logic. IEICE Transactions 89-C(11): 1575-1580 (2006)
35EEAkira Mochizuki, Hirokatsu Shirahama, Takahiro Hanyu: Design of a Low-Power Quaternary Flip-Flop Based on Dynamic Differential Logic. IEICE Transactions 89-C(11): 1591-1597 (2006)
34EETomohiro Takahashi, Takahiro Hanyu: Implementation of a High-Speed Asynchronous Data-Transfer Chip Based on Multiple-Valued Current-Signal Multiplexing. IEICE Transactions 89-C(11): 1598-1604 (2006)
2005
33EENaoya Onizawa, Akira Mochizuki, Takahiro Hanyu: Multiple-Valued Duplex Asynchronous Data Transfer Scheme for Interleaving in LDPC Decoders. ISMVL 2005: 138-143
32EEAkira Mochizuki, Hiromitsu Kimura, Mitsuru Ibuki, Takahiro Hanyu: TMR-Based Logic-in-Memory Circuit for Low-Power VLSI. IEICE Transactions 88-A(6): 1408-1415 (2005)
2004
31EEAkira Mochizuki, Takashi Takeuchi, Takahiro Hanyu: Intra-Chip Address-Presetting Data-Transfer Scheme Using Four-Valued Encoding. ISMVL 2004: 192-197
30EETomohiro Takahashi, Takahiro Hanyu: Multiple-Valued Multiple-Rail Encoding Scheme for Low-Power Asynchronous Communication. ISMVL 2004: 20-25
29EEHiromitsu Kimura, Kostas Pagiamtzis, Ali Sheikholeslami, Takahiro Hanyu: A Study of Multiple-Valued Magnetoresistive RAM (MRAM) Using Binary MTJ Devices. ISMVL 2004: 340-345
2003
28EETakahiro Hanyu, Akira Mochizuki, Michitaka Kameyama: Multiple-Valued Dynamic Source-Coupled Logic. ISMVL 2003: 207-212
27EETakahiro Hanyu, Tomohiro Takahashi, Michitaka Kameyama: Bidirectional Data Transfer Based Asynchronous VLSI System Using Multiple-Valued Current Mode Logic. ISMVL 2003: 99-104
2002
26EEHiromitsu Kimura, Takahiro Hanyu, Michitaka Kameyama: Multiple-Valued Logic-in-Memory VLSI Based on Ferroelectric Capacitor Storage and Charge Addition. ISMVL 2002: 161-
25EETsukasa Ike, Takahiro Hanyu, Michitaka Kameyama: Fully Source-Coupled Logic Based Multiple-Valued VLSI. ISMVL 2002: 270-275
2001
24 Takahiro Hanyu, Michitaka Kameyama, Katsuhiko Shimabukuro, C. Zukeran: Multiple-Valued Mask-Programmable Logic Array Using One-Transistor Universal-Literal Circuits. ISMVL 2001: 167-172
23 Tsukasa Ike, Takahiro Hanyu, Michitaka Kameyama: Dual-Rail Multiple-Valued Current-Mode VLSI with Biasing Current Sources. ISMVL 2001: 21-26
22 Takahiro Hanyu: Challenge of a Multiple-Valued Technology in Recent Deep-Submicron VLSI. ISMVL 2001: 241-
2000
21EETakahiro Hanyu, Tsukasa Ike, Michitaka Kameyama: Low-Power Dual-Rail Multiple-Valued Current-Mode Logic Circuit Using Multiple Input-Signal Levels. ISMVL 2000: 382-
20EETakahiro Hanyu, Hiromitsu Kimura, Michitaka Kameyama: DRAM-Cell-Based Multiple-Valued Logic-in-Memory VLSI with Charge Addition and Charge Storage. ISMVL 2000: 423-429
19EEShunichi Kaeriyama, Takahiro Hanyu, Michitaka Kameyama: Arithmetic-Oriented Multiple-Valued Logic-in-Memory VLSI Based on Current-Mode Logic. ISMVL 2000: 438-
18EETakahiro Hanyu, Tsukasa Ike, Michitaka Kameyama: Integration of asynchronous and self-checking multiple-valued current-mode circuits based on dual-rail differential logic. PRDC 2000: 27-36
1999
17EETakahiro Hanyu, Tsukasa Ike, Michitaka Kameyama: Self-Checking Multiple-Valued Circuit Based on Dual-Rail Current-Mode Differential Logic. ISMVL 1999: 275-279
16EETakahiro Hanyu, Hiromitsu Kimura, Michitaka Kameyama: Multiple-Valued Content-Addressable Memory Using Metal-Ferroelectric-Semiconductor FETs. ISMVL 1999: 30-35
1998
15EETakahiro Hanyu, Takahiro Saito, Michitaka Kameyama: Asynchronous Multiple-Valued VLSI System Based on Dual-Rail Current-Mode Differential Logic. ISMVL 1998: 134-139
14EETakahiro Hanyu, Kaname Teranishi, Michitaka Kameyama: Multiple-Valued Floating-Gate-MOS Pass Logic and its Application to Logic-in-Memory VLSI. ISMVL 1998: 270-275
13EETakahiro Saito, Takahiro Hanyu, Michitaka Kameyama: Optimal design of a current-mode deep-submicron multiple-valued integrated circuit and application. Systems and Computers in Japan 29(11): 40-47 (1998)
12EETakahiro Hanyu, Kaname Teranishi, Michitaka Kameyama: Design and evaluation of a digit-parallel multiple-valued content-addressable memory. Systems and Computers in Japan 29(11): 48-54 (1998)
1997
11EETakahiro Hanyu, Manabu Arakaki, Michitaka Kameyama: One-Transistor-Cell 4-Valued Universal-Literal CAM for Cellular Logic Image Processing. ISMVL 1997: 175-
1996
10EETakahiro Hanyu, Manabu Arakaki, Michitaka Kameyama: Quaternary Universal-Literal CAM for Cellular Logic Image Processing. ISMVL 1996: 224-229
9EEAli Sheikholeslami, P. Glenn Gulak, Takahiro Hanyu: A Multiple-Valued Ferroelectric Content-Addressable Memory. ISMVL 1996: 74-79
1995
8EETakahiro Hanyu, Akira Mochizuki, Michitaka Kameyama: Multiple-Valued Arithmetic Integrated Circuits Based on 1.5V-Supply Dual-Rail Source-Coupled Logic. ISMVL 1995: 64-
7EEXiaowei Deng, Takahiro Hanyu, Michitaka Kameyama: Quantum Device Model-Based Super Pass Gate for Multiple-Valued Digital Systems. ISMVL 1995: 92-97
1994
6 Takahiro Hanyu, Akira Mochizuki, Michitaka Kameyama: Multiple-Valued Current-Mode MOS Integrated Circuits Based on Dual-Rail Source-Coupled Logic. ISMVL 1994: 19-26
1993
5 Satoshi Aragaki, Takahiro Hanyu, Tatsuo Higuchi: A Multiple-Valued Content-Addressable Memory Using Logic-Value Conversion and Threshold Functions. ISMVL 1993: 170-175
1992
4 Takahiro Hanyu, Kouichi Takeda, Tatsuo Higuchi: Design of a Multiple-Valued Rule-Programmable Matching VLSI Chip for Real-Time Rule-Based Systems. ISMVL 1992: 274-281
1991
3 Takahiro Hanyu, Yasushi Kojima, Tatsuo Higuchi: A Multiple-Valued Logic Artay VLSI Based on Two-Transistor Delta Literal Circuit and Its Application to Real-Time Reasoning Systems. ISMVL 1991: 16-23
2 Takahiro Hanyu, Tatsuo Higuchi: A Floating-Gate-MOS-Based Multiple-Valued Associative Memory. ISMVL 1991: 24-31
1990
1 Takahiro Hanyu, Tatsuo Higuchi: Design of a High-Density Multiple-Valued Content-Addressable Memory Based on Floating-Gate MOS Devices. ISMVL 1990: 18-23

Coauthor Index

1Satoshi Aragaki [5]
2Manabu Arakaki [10] [11]
3Kazutami Arimoto [43]
4Xiaowei Deng [7]
5P. Glenn Gulak [9]
6Tatsuo Higuchi [1] [2] [3] [4] [5]
7Akihiro Hirosaki [49]
8Mitsuru Ibuki [32]
9Tsukasa Ike [17] [18] [21] [23] [25]
10Shunichi Kaeriyama [19]
11Michitaka Kameyama [6] [7] [8] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [20] [21] [23] [24] [25] [26] [27] [28]
12Hiromitsu Kimura [16] [20] [26] [29] [32] [44]
13Takeshi Kitamura [39]
14Yasushi Kojima [3]
15Atsushi Matsumoto [49]
16S. Matsunaga [44]
17Masatomo Miura [41] [45] [49]
18Kazuyasu Mizusawa [42] [46]
19Akira Mochizuki [6] [8] [28] [31] [32] [33] [35] [38] [39] [40] [41] [43]
20Tasuku Nagai [48]
21Masami Nakajima [43]
22T. Nakamura [44]
23Naoya Onizawa [33] [36] [46] [48]
24Kostas Pagiamtzis [29]
25Takahiro Saito [13] [15]
26Ali Sheikholeslami [9] [29]
27Katsuhiko Shimabukuro [24]
28Hirokatsu Shirahama [35] [39] [40] [43] [47]
29Tomohiro Takahashi [27] [30] [34] [42]
30H. Takasu [44]
31Kouichi Takeda [4]
32Takashi Takeuchi [31]
33Kaname Teranishi [12] [14]
34C. Zukeran [24]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)