dblp.uni-trier.dewww.uni-trier.de

Shinji Kimura

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
24EEMasashi Tsuboi, Shinji Kimura, Tsutomu Horikoshi: An objective and subjective evaluation of an autostereoscopic 3d display. CHI Extended Abstracts 2009: 3577-3582
2008
23EETaeko Matsunaga, Shinji Kimura, Yusuke Matsunaga: Synthesis of parallel prefix adders considering switching activities. ICCD 2008: 404-409
22EEChengjie Zang, Shigeki Imai, Steven Frank, Shinji Kimura: Issue Mechanism for Embedded Simultaneous Multithreading Processor. IEICE Transactions 91-A(4): 1092-1100 (2008)
21EEYun Yang, Shinji Kimura: The Optimal Architecture Design of Two-Dimension Matrix Multiplication Jumping Systolic Array. IEICE Transactions 91-A(4): 1101-1111 (2008)
2006
20EEXingwen Xu, Shinji Kimura, Kazunari Horikawa, Takehiko Tsuchiya: Transition-based coverage estimation for symbolic model checking. ASP-DAC 2006: 1-6
19EEYouhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki: FCSCAN: an efficient multiscan-based test compression technique for test cost reduction. ASP-DAC 2006: 653-658
18EENobuhiro Doi, Takashi Horiyama, Masaki Nakanishi, Shinji Kimura: Bit-Length Optimization Method for High-Level Synthesis Based on Non-linear Programming Technique. IEICE Transactions 89-A(12): 3427-3434 (2006)
17EEXingwen Xu, Shinji Kimura, Kazunari Horikawa, Takehiko Tsuchiya: Coverage Estimation Using Transition Perturbation for Symbolic Model Checking in Hardware Verification. IEICE Transactions 89-A(12): 3451-3457 (2006)
16EEYouhua Shi, Nozomu Togawa, Shinji Kimura, Masao Yanagisawa, Tatsuo Ohtsuki: Selective Low-Care Coding: A Means for Test Data Compression in Circuits with Multiple Scan Chains. IEICE Transactions 89-A(4): 996-1004 (2006)
2005
15EEYouhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki, Shinji Kimura: Low Power Test Compression Technique for Designs with Multiple Scan Chain. Asian Test Symposium 2005: 386-389
14EEMakoto Okada, Tatsuo Hiramatsu, Hiroshi Nakajima, Makoto Ozone, Katsunori Hirase, Shinji Kimura: A Reconfigurable Processor Based on ALU Array Architecture with Limitation on the Interconnection. IPDPS 2005
13EEXingwen Xu, Shinji Kimura, Kazunari Horikawa, Takehiko Tsuchiya: Extended abstract: transition traversal coverage estimation for symbolic model checking. MEMOCODE 2005: 259-260
12EEShinji Kimura: Special Section on VLSI Design and CAD Algorithms. IEICE Transactions 88-A(12): 3273 (2005)
2004
11EENobuhiro Doi, Takashi Horiyama, Masaki Nakanishi, Shinji Kimura: Minimization of fractional wordlength on fixed-point conversion for high-level synthesis. ASP-DAC 2004: 80-85
10EEYouhua Shi, Shinji Kimura, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki: Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test. Asian Test Symposium 2004: 432-437
2002
9EEShinji Kimura, Takashi Horiyama, Masaki Nakanishi, Hirotsugu Kajihara: Folding of logic functions and its application to look up table compaction. ICCAD 2002: 694-697
2001
8EEKazuhiro Nakamura, Qiang Zhu, Shinji Maruoka, Takashi Horiyama, Shinji Kimura, Katsumasa Watanabe: A real-time 64-monosyllable recognition LSI with learning mechanism. ASP-DAC 2001: 31-32
7EEKazuhiro Nakamura, Qiang Zhu, Shinji Maruoka, Takashi Horiyama, Shinji Kimura, Katsumasa Watanabe: Speech recognition chip for monosyllables. ASP-DAC 2001: 396-399
2000
6EEShinji Kimura, Hiroyuki Kida, Kazuyoshi Takagi, Tatsumori Abematsu, Katsumasa Watanabe: An application specific Java processor with reconfigurabilities. ASP-DAC 2000: 25-26
5EEKazuhiro Nakamura, Shinji Maruoka, Shinji Kimura, Katsumasa Watanabe: Multi-clock path analysis using propositional satisfiability. ASP-DAC 2000: 81-86
1998
4EEKazuhiro Nakamura, Kazuyoshi Takagi, Shinji Kimura, Katsumasa Watanabe: Waiting false path analysis of sequential logic circuits for performance optimization. ICCAD 1998: 392-395
1997
3EEShinji Kimura, Yasufumi Itou, Makoto Hirao, Katsumasa Watanabe, Mitsuteru Yukishita, Akira Nagoya: A Hardware/Software Codesign Method for a General Purpose Reconfigurable Co-Processor. CODES 1997: 147-152
1995
2EEShinji Kimura: Residue BDD and Its Application to the Verification of Arithmetic Circuits. DAC 1995: 542-545
1992
1EEShinji Kimura, Shigemi Kashima, Hiromasa Haneda: Precise timing verification of logic circuits under combined delay model. ICCAD 1992: 526-529

Coauthor Index

1Tatsumori Abematsu [6]
2Nobuhiro Doi [11] [18]
3Steven Frank [22]
4Hiromasa Haneda [1]
5Tatsuo Hiramatsu [14]
6Makoto Hirao [3]
7Katsunori Hirase [14]
8Kazunari Horikawa [13] [17] [20]
9Tsutomu Horikoshi [24]
10Takashi Horiyama [7] [8] [9] [11] [18]
11Shigeki Imai [22]
12Yasufumi Itou [3]
13Hirotsugu Kajihara [9]
14Shigemi Kashima [1]
15Hiroyuki Kida [6]
16Shinji Maruoka [5] [7] [8]
17Taeko Matsunaga [23]
18Yusuke Matsunaga [23]
19Akira Nagoya [3]
20Hiroshi Nakajima [14]
21Kazuhiro Nakamura [4] [5] [7] [8]
22Masaki Nakanishi [9] [11] [18]
23Tatsuo Ohtsuki [10] [15] [16] [19]
24Makoto Okada [14]
25Makoto Ozone [14]
26Youhua Shi [10] [15] [16] [19]
27Kazuyoshi Takagi [4] [6]
28Nozomu Togawa [10] [15] [16] [19]
29Masashi Tsuboi [24]
30Takehiko Tsuchiya [13] [17] [20]
31Katsumasa Watanabe [3] [4] [5] [6] [7] [8]
32Xingwen Xu [13] [17] [20]
33Masao Yanagisawa [10] [15] [16] [19]
34Yun Yang [21]
35Mitsuteru Yukishita [3]
36Chengjie Zang [22]
37Qiang Zhu [7] [8]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)