dblp.uni-trier.dewww.uni-trier.de

Youtao Zhang

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2009
41EEYi Xu, Yu Du, Bo Zhao, Xiuyi Zhou, Youtao Zhang, Jun Yang: A low-radix and low-diameter 3D interconnection network design. HPCA 2009: 30-42
40EEYoutao Zhang, Zili Shao: Special issue of selected papers from EUC 2005. J. Embedded Computing 3(1): 1-2 (2009)
2008
39EEXiuyi Zhou, Yi Xu, Yu Du, Youtao Zhang, Jun Yang: Thermal Management for 3D Processors via Task Scheduling. ICPP 2008: 115-122
38EEYoutao Zhang, Jun Yang, Weijia Li: Towards energy-efficient code dissemination in wireless sensor networks. IPDPS 2008: 1-5
37EEJun Yang, Xiuyi Zhou, Marek Chrobak, Youtao Zhang, Lingling Jin: Dynamic Thermal Management through Task Scheduling. ISPASS 2008: 191-201
2007
36EEWeijia Li, Youtao Zhang, Jun Yang, Jiang Zheng: UCC: update-conscious compilation for energy efficiency in wireless sensor networks. PLDI 2007: 383-393
35EEYongjing Lin, Youtao Zhang, Rajiv Gupta: The design and evaluation of path matching schemes on compressed control flow traces. Journal of Systems and Software 80(3): 396-409 (2007)
2006
34EEYoutao Zhang, Jun Yang, Lan Gao: Efficient Group KeyManagement with Tamper-resistant ISA Extensions. ASAP 2006: 269-274
33EEYoutao Zhang, Jun Yang, Lingling Jin, Weijia Li: Locating Compromised Sensor Nodes Through Incremental Hashing Authentication. DCOSS 2006: 321-337
32EEWeidong Shi, Joshua B. Fryman, Guofei Gu, Hsien-Hsin S. Lee, Youtao Zhang, Jun Yang: InfoShield: a security architecture for protecting information usage in memory. HPCA 2006: 222-231
31EELingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang: Reduce Register Files Leakage Through Discharging Cells. ICCD 2006
30EEYoutao Zhang, Jim Yang, H. T. Vu: The interleaved authentication for filtering false reports in multipath routing based sensor networks. IPDPS 2006
29EELan Gao, Jun Yang, Marek Chrobak, Youtao Zhang, San Nguyen, Hsien-Hsin S. Lee: A low-cost memory remapping scheme for address bus protection. PACT 2006: 74-83
28EEYoutao Zhang, Rajiv Gupta: Compressing heap data for improved memory performance. Softw., Pract. Exper. 36(10): 1081-1111 (2006)
2005
27EEYongjing Lin, Youtao Zhang: Performance Comparison of Path Matching Algorithms over Compressed Control Flow Traces. DCC 2005: 113-122
26EEYoutao Zhang, Lan Gao, Jun Yang, Xiangyu Zhang, Rajiv Gupta: SENSS: Security Enhancement to Symmetric Shared Memory Multiprocessors. HPCA 2005: 352-362
25EELingling Jin, Wei Wu, Jun Yang, Chuanjun Zhang, Youtao Zhang: Dynamic Co-allocation of Level One Caches. ICESS 2005: 373-385
24EEYongjing Lin, Youtao Zhang, Quanzhong Li, Jun Yang: Supporting efficient query processing on compressed XML files. SAC 2005: 660-665
23EEXiangyu Zhang, Rajiv Gupta, Youtao Zhang: Cost and precision tradeoffs of dynamic data slicing algorithms. ACM Trans. Program. Lang. Syst. 27(4): 631-661 (2005)
22EEJun Yang, Lan Gao, Youtao Zhang: Improving Memory Encryption Performance in Secure Processors. IEEE Trans. Computers 54(5): 630-640 (2005)
21EEYoutao Zhang, Jun Yang: Reducing I-cache energy of multimedia applications through low cost tag comparison elimination. J. Embedded Computing 1(4): 461-470 (2005)
20EEJun Yang, Jia Yu, Youtao Zhang: A low energy cache design for multimedia applications exploiting set access locality. Journal of Systems Architecture 51(10-11): 653-664 (2005)
19EEYoutao Zhang, Jun Yang, Yongjing Lin, Lan Gao: Architectural support for protecting user privacy on trusted processors. SIGARCH Computer Architecture News 33(1): 118-123 (2005)
2004
18EEGuodong Li, Youtao Zhang, Yongjing Lin, Yaochun Huang: Scalable Duplication Strategy with Bounded Availability of Processors. ICPADS 2004: 267-
17EEXiangyu Zhang, Rajiv Gupta, Youtao Zhang: Efficient Forward Computation of Dynamic Slices Using Reduced Ordered Binary Decision Diagrams. ICSE 2004: 502-511
16EEBengu Li, Youtao Zhang, Rajiv Gupta: Speculative Subword Register Allocation in Embedded Processors. LCPC 2004: 56-71
15EEZili Shao, Qingfeng Zhuge, Youtao Zhang, Edwin Hsing-Mean Sha: Algorithms and analysis of scheduling for low-power high-performance DSP on VLIW processors. IJHPCN 1(1/2/3): 4-16 (2004)
2003
14EEYoutao Zhang, Jun Yang: Procedural Level Address Offset Assignment of DSP Applications with Loops. ICPP 2003: 21-28
13EEYoutao Zhang, Rajiv Gupta: Enabling Partial Cache Line Prefetching Through Data Compression. ICPP 2003: 277-285
12EEXiangyu Zhang, Rajiv Gupta, Youtao Zhang: Precise Dynamic Slicing Algorithms. ICSE 2003: 319-329
11 Cathy Qun Xu, Youtao Zhang, Edwin Hsing-Mean Sha: Application-Specific Interconnection Network Design in Clustered DSP Processors. ISCA PDCS 2003: 69-75
10EEYoutao Zhang, Jun Yang: Low cost instruction cache designs for tag comparison elimination. ISLPED 2003: 266-269
9EEJun Yang, Youtao Zhang: Lightweight set buffer: low power data cache for multimedia application. ISLPED 2003: 270-273
8EEJun Yang, Youtao Zhang, Lan Gao: Fast Secure Processor for Inhibiting Software Piracy and Tampering. MICRO 2003: 351-360
2002
7EEYoutao Zhang, Rajiv Gupta: Data Compression Transformations for Dynamically Allocated Data Structures. CC 2002: 14-28
6EERajiv Gupta, Eduard Mehofer, Youtao Zhang: A Representation for Bit Section Based Analysis and Optimization. CC 2002: 62-77
5EEYoutao Zhang, Rajiv Gupta: Path Matching in Compressed Control Flow Trace. DCC 2002: 132-141
4 Rajiv Gupta, Eduard Mehofer, Youtao Zhang: Profile-Guided Compiler Optimizations. The Compiler Design Handbook 2002: 143-174
2001
3 Youtao Zhang, Rajiv Gupta: Timestamped Whole Program Path Representation and its Applications. PLDI 2001: 180-190
2000
2EEYoutao Zhang, Jun Yang, Rajiv Gupta: Frequent Value Locality and Value-Centric Data Cache Design. ASPLOS 2000: 150-159
1EEJun Yang, Youtao Zhang, Rajiv Gupta: Frequent value compression in data caches. MICRO 2000: 258-265

Coauthor Index

1Marek Chrobak [29] [37]
2Yu Du [39] [41]
3Joshua B. Fryman [32]
4Lan Gao [8] [19] [22] [26] [29] [34]
5Guofei Gu [32]
6Rajiv Gupta [1] [2] [3] [4] [5] [6] [7] [12] [13] [16] [17] [23] [26] [28] [35]
7Yaochun Huang [18]
8Lingling Jin [25] [31] [33] [37]
9Hsien-Hsin S. Lee [29] [32]
10Bengu Li [16]
11Guodong Li [18]
12Quanzhong Li [24]
13Weijia Li [33] [36] [38]
14Yongjing Lin [18] [19] [24] [27] [35]
15Eduard Mehofer [4] [6]
16San Nguyen [29]
17Edwin Hsing-Mean Sha [11] [15]
18Zili Shao [15] [40]
19Weidong Shi [32]
20H. T. Vu [30]
21Wei Wu [25] [31]
22Cathy Qun Xu [11]
23Yi Xu [39] [41]
24Jim Yang [30]
25Jun Yang [1] [2] [8] [9] [10] [14] [19] [20] [21] [22] [24] [25] [26] [29] [31] [32] [33] [34] [36] [37] [38] [39] [41]
26Jia Yu [20]
27Chuanjun Zhang [25] [31]
28Xiangyu Zhang [12] [17] [23] [26]
29Bo Zhao [41]
30Jiang Zheng [36]
31Xiuyi Zhou [37] [39] [41]
32Qingfeng Zhuge [15]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)