dblp.uni-trier.dewww.uni-trier.de

Christian Jacobi

List of publications from the DBLP Bibliography Server - FAQ

other persons with the same name:


Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo
Home Page

2008
14EEUdo Krautz, Markus Wedler, Wolfgang Kunz, Kai Weber, Christian Jacobi, Matthias Pflanz: Verifying full-custom multipliers by Boolean equivalence checking and an arithmetic bit level proof. ASP-DAC 2008: 398-403
2007
13EELee Eisen, John Wesley Ward III, Hans-Werner Tast, Nicolas Mäding, Jens Leenstra, Silvia M. Müller, Christian Jacobi, Jochen Preiss, Eric M. Schwarz, Steven R. Carlough: IBM POWER6 accelerators: VMX and DFU. IBM Journal of Research and Development 51(6): 663-684 (2007)
2006
12EEUdo Krautz, Matthias Pflanz, Christian Jacobi, Hans-Werner Tast, Kai Weber, Heinrich Theodor Vierhaus: Evaluating coverage of error detection logic for soft errors using formal methods. DATE 2006: 176-181
11EESven Beyer, Christian Jacobi, Daniel Kröning, Dirk Leinenbach, Wolfgang J. Paul: Putting it all together - Formal verification of the VAMP. STTT 8(4-5): 411-430 (2006)
2005
10EEViresh Paruthi, Christian Jacobi, Kai Weber: Efficient Symbolic Simulation via Dynamic Scheduling, Don't Caring, and Case Splitting. CHARME 2005: 114-128
9EEChristian Jacobi, Kai Weber, Viresh Paruthi, Jason Baumgartner: Automatic Formal Verification of Fused-Multiply-Add FPUs. DATE 2005: 1298-1303
8EESilvia M. Müller, Christian Jacobi, Hwa-Joon Oh, Kevin D. Tran, Scott R. Cottier, Brad W. Michael, Hiroo Nishikawa, Yonetaro Totsuka, Tatsuya Namatame, Naoka Yano, Takashi Machida, Sang H. Dhong: The Vector Floating-Point Unit in a Synergistic Processor Element of a CELL Processor. IEEE Symposium on Computer Arithmetic 2005: 59-67
7EEChristian Jacobi, Christoph Berg: Formal Verification of the VAMP Floating Point Unit. Formal Methods in System Design 26(3): 227-266 (2005)
2003
6EESven Beyer, Christian Jacobi, Daniel Kroening, Dirk Leinenbach, Wolfgang J. Paul: Instantiating Uninterpreted Functional Units and Memory System: Functional Verification of the VAMP. CHARME 2003: 51-65
5EEMichael Backes, Christian Jacobi: Cryptographically Sound and Machine-Assisted Verification of Security Protocols. STACS 2003: 675-686
2002
4EEChristian Jacobi: Formal Verification of Complex Out-of-Order Pipelines by Combining Model-Checking and Theorem-Proving. CAV 2002: 309-323
3EEMichael Backes, Christian Jacobi, Birgit Pfitzmann: Deriving Cryptographically Sound Implementations Using Composition and Formally Verified Bisimulation. FME 2002: 310-329
2001
2EEChristoph Berg, Christian Jacobi: Formal Verification of the VAMP Floating Point Unit. CHARME 2001: 325-339
1999
1EEChristian Jacobi, Cédric Lichtenau: Highly Concurrent Locking in Shared Memory Database Systems. Euro-Par 1999: 477-481

Coauthor Index

1Michael Backes [3] [5]
2Jason Baumgartner [9]
3Christoph Berg [2] [7]
4Sven Beyer [6] [11]
5Steven R. Carlough [13]
6Scott R. Cottier [8]
7Sang H. Dhong [8]
8Lee Eisen [13]
9Udo Krautz [12] [14]
10Daniel Kroening (Daniel Kröning) [6] [11]
11Wolfgang Kunz [14]
12Jens Leenstra [13]
13Dirk Leinenbach [6] [11]
14Cédric Lichtenau [1]
15Takashi Machida [8]
16Nicolas Mäding [13]
17Brad W. Michael [8]
18Silvia M. Müller [8] [13]
19Tatsuya Namatame [8]
20Hiroo Nishikawa [8]
21Hwa-Joon Oh [8]
22Viresh Paruthi [9] [10]
23Wolfgang J. Paul [6] [11]
24Birgit Pfitzmann [3]
25Matthias Pflanz [12] [14]
26Jochen Preiss [13]
27Eric M. Schwarz [13]
28Hans-Werner Tast [12] [13]
29Yonetaro Totsuka [8]
30Kevin D. Tran [8]
31Heinrich Theodor Vierhaus [12]
32John Wesley Ward III [13]
33Kai Weber [9] [10] [12] [14]
34Markus Wedler [14]
35Naoka Yano [8]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)