dblp.uni-trier.dewww.uni-trier.de

Pinhong Chen

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
15EEKishore Kumar Muchherla, Pinhong Chen, Dongsheng Ma, Janet Meiling Wang: A noniterative equivalent waveform model for timing analysis in presence of crosstalk. ACM Trans. Design Autom. Electr. Syst. 13(2): (2008)
2005
14EEKishore Kumar Muchherla, Pinhong Chen, Janet Meiling Wang: A non-iterative equivalent waveform model for timing analysis in presence of crosstalk. ISCAS (3) 2005: 2465-2468
2004
13EEJanet Meiling Wang, Omar Hafiz, Pinhong Chen: A non-iterative model for switching window computation with crosstalk noise. ASP-DAC 2004: 846-851
12 Omar Hafiz, Pinhong Chen, Janet Meiling Wang: A new non-iterative model for switching window computation with crosstalk noise. ISCAS (2) 2004: 497-500
2003
11EEJanet Meiling Wang, Pinhong Chen, Omar Hafiz: A New Continuous Switching Window Computation with Crosstalk Noise. SBCCI 2003: 261-266
10 Janet Meiling Wang, Pinhong Chen, Omar Hafiz: Switching Windows Computation in Presence of Crosstalk Noise. VLSI 2003: 114-118
2002
9EEPinhong Chen, Yuji Kukimoto, Kurt Keutzer: Refining switching window by time slots for crosstalk noise calculation. ICCAD 2002: 583-586
8EEPinhong Chen, Yuji Kukimoto, Chin-Chi Teng, Kurt Keutzer: On convergence of switching windows computation in presence of crosstalk noise. ISPD 2002: 84-89
7EEMichael Orshansky, Linda Milor, Pinhong Chen, Kurt Keutzer, Chenming Hu: Impact of spatial intrachip gate length variability on theperformance of high-speed digital circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 21(5): 544-553 (2002)
2001
6EEPinhong Chen, Kurt Keutzer, Desmond Kirkpatrick: Scripting for EDA Tools: A Case Study. ISQED 2001: 87-
2000
5 Pinhong Chen, Desmond Kirkpatrick, Kurt Keutzer: Switching Window Computation for Static Timing Analysis in Presence of Crosstalk Noise. ICCAD 2000: 331-337
4 Michael Orshansky, Linda Milor, Pinhong Chen, Kurt Keutzer, Chenming Hu: Impact of Systematic Spatial Intra-Chip Gate Length Variability on Performance of High-Speed Digital Circuits. ICCAD 2000: 62-67
3 Pinhong Chen, Desmond Kirkpatrick, Kurt Keutzer: Miller Factor for Gate-Level Coupling Delay Calculation. ICCAD 2000: 68-74
1999
2EEPinhong Chen, Kurt Keutzer: Towards true crosstalk noise analysis. ICCAD 1999: 132-138
1993
1 Pinhong Chen, Jyuo-Min Shyu, Liang-Gee Chen: Hardware Verification Using Symbolic State Transition Graphs. ICCD 1993: 54-57

Coauthor Index

1Liang-Gee Chen [1]
2Omar Hafiz [10] [11] [12] [13]
3Chenming Hu [4] [7]
4Kurt Keutzer [2] [3] [4] [5] [6] [7] [8] [9]
5Desmond Kirkpatrick [3] [5] [6]
6Yuji Kukimoto [8] [9]
7Dongsheng Ma [15]
8Linda S. Milor (Linda Milor) [4] [7]
9Kishore Kumar Muchherla [14] [15]
10Michael Orshansky [4] [7]
11Jyuo-Min Shyu [1]
12Chin-Chi Teng [8]
13Janet Meiling Wang (Janet Meiling Wang Roveda) [10] [11] [12] [13] [14] [15]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)