dblp.uni-trier.dewww.uni-trier.de

Zhonghai Lu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
15EEZhonghai Lu, Lei Xia, Axel Jantsch: Cluster-based Simulated Annealing for Mapping Cores onto 2D Mesh Networks on Chip. DDECS 2008: 92-97
14EEMing Liu, Johannes Lang, Shuo Yang, Tiago Perez, Wolfgang Kuehn, Hao Xu, Dapeng Jin, Qiang Wang, Lu Li, Zhen'An Liu, Zhonghai Lu, Axel Jantsch: ATCA-based computation platform for data acquisition and triggering in particle physics experiments. FPL 2008: 287-292
13EEZhonghai Lu, Axel Jantsch: TDM Virtual-Circuit Configuration for Network-on-Chip. IEEE Trans. VLSI Syst. 16(8): 1021-1034 (2008)
2007
12EEZhonghai Lu, Ming Liu, Axel Jantsch: Layered Switching for Networks on Chip. DAC 2007: 122-127
11EEHuimin She, Zhonghai Lu, Axel Jantsch, Li-Rong Zheng, Dian Zhou: Traffic Splitting with Network Calculus for Mesh Sensor Networks. FGCN (2) 2007: 368-373
10EEZhonghai Lu, Axel Jantsch: Slot allocation using logical networks for TDM virtual-circuit configuration for network-on-chip. ICCAD 2007: 18-25
9EEZhonghai Lu, Jonas Sicking, Ingo Sander, Axel Jantsch: Using Synchronizers for Refining Synchronous Communication onto Hardware/Software Architectures. IEEE International Workshop on Rapid System Prototyping 2007: 143-149
2006
8EEZhonghai Lu, Mingchen Zhong, Axel Jantsch: Evaluation of on-chip networks using deflection routing. ACM Great Lakes Symposium on VLSI 2006: 296-301
7EEZhonghai Lu, Ingo Sander, Axel Jantsch: Towards Performance-Oriented Pattern-Based Refinement of Synchronous Models onto NoC Communication. DSD 2006: 37-44
6EEZhonghai Lu, Bei Yin, Axel Jantsch: Connection-oriented Multicasting in Wormhole-switched Networks on Chip. ISVLSI 2006: 205-2110
2005
5EEZhonghai Lu, Axel Jantsch, Ingo Sander: Feasibility analysis of messages for on-chip networks using wormhole routing. ASP-DAC 2005: 960-964
4EEZhonghai Lu, Ingo Sander, Axel Jantsch: Refinement of Perfectly Synchronous Communication Model. FDL 2005: 453-465
3EEZhonghai Lu, Axel Jantsch: Traffic Configuration for Evaluating Networks on Chips. IWSOC 2005: 535-540
2003
2EEIngo Sander, Axel Jantsch, Zhonghai Lu: Development and Application of Design Transformations in ForSyDe. DATE 2003: 10364-10369
2002
1EEIngo Sander, Axel Jantsch, Zhonghai Lu: A Case Study of Hardware and Software Synthesis in ForSyDe. ISSS 2002: 86-91

Coauthor Index

1Axel Jantsch [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15]
2Dapeng Jin [14]
3Wolfgang Kuehn [14]
4Johannes Lang [14]
5Lu Li [14]
6Ming Liu [12] [14]
7Zhen'An Liu [14]
8Tiago Perez [14]
9Ingo Sander [1] [2] [4] [5] [7] [9]
10Huimin She [11]
11Jonas Sicking [9]
12Qiang Wang [14]
13Lei Xia [15]
14Hao Xu [14]
15Shuo Yang [14]
16Bei Yin [6]
17Li-Rong Zheng [11]
18Mingchen Zhong [8]
19Dian Zhou [11]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)