dblp.uni-trier.dewww.uni-trier.de

Raghuram S. Tupuri

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
9EESriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri: A Robust Top-Down Dynamic Power Estimation Methodology for Delay Constrained Register Transfer Level Sequential Circuits. VLSI Design 2008: 521-526
2006
8EESriram Sambamurthy, Jacob A. Abraham, Raghuram S. Tupuri: Delay Constrained Register Transfer Level Dynamic Power Estimation. PATMOS 2006: 36-46
2003
7EEVivekananda M. Vedula, Jacob A. Abraham, Jayanta Bhadra, Raghuram S. Tupuri: A Hierarchical Test Generation Approach Using Program Slicing Techniques on Hardware Description Languages. J. Electronic Testing 19(2): 149-160 (2003)
2002
6EEJacob A. Abraham, Arun Krishnamachary, Raghuram S. Tupuri: A Comprehensive Fault Model for Deep Submicron Digital Circuits. DELTA 2002: 360-364
2001
5EEArun Krishnamachary, Jacob A. Abraham, Raghuram S. Tupuri: Timing Verification and Delay Test Generation for Hierarchical Designs. VLSI Design 2001: 157-162
2000
4EERaghuram S. Tupuri, Jacob A. Abraham, Daniel G. Saab: Hierarchical Test Generation for Systems On a Chip. VLSI Design 2000: 198-
1999
3EERaghuram S. Tupuri, Arun Krishnamachary, Jacob A. Abraham: Test Generation for Gigahertz Processors Using an Automatic Functional Constraint Extractor. DAC 1999: 647-652
1997
2 Raghuram S. Tupuri, Jacob A. Abraham: A Novel Functional Test Generation Method for Processors Using Commercial ATPG. ITC 1997: 743-752
1EERaghuram S. Tupuri, Jacob A. Abraham: A Novel Hierarchical Test Generation Method for Processors. VLSI Design 1997: 540-541

Coauthor Index

1Jacob A. Abraham [1] [2] [3] [4] [5] [6] [7] [8] [9]
2Jayanta Bhadra [7]
3Arun Krishnamachary [3] [5] [6]
4Daniel G. Saab [4]
5Sriram Sambamurthy [8] [9]
6Vivekananda M. Vedula [7]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)