dblp.uni-trier.dewww.uni-trier.de

Ahmed Louri

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2009
27EEAvinash Karanth Kodi, Ahmed Louri, Janet Wang: Design of energy-efficient channel buffers with router bypassing for network-on-chips (NoCs). ISQED 2009: 826-832
26EEJin Sun, Avinash Kodi, Ahmed Louri, Janet Meiling Wang: NBTI aware workload balancing in multi-core systems. ISQED 2009: 833-838
2008
25EEAvinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri: iDEAL: Inter-router Dual-Function Energy and Area-Efficient Links for Network-on-Chip (NoC) Architectures. ISCA 2008: 241-250
24EEAvinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri: Adaptive Channel Buffers in On-Chip Interconnection Networks - A Power and Performance Analysis. IEEE Trans. Computers 57(9): 1169-1181 (2008)
2007
23EEAvinash Karanth Kodi, Ashwini Sarathy, Ahmed Louri: Design of adaptive communication channel buffers for low-power area-efficient network-on-chip architecture. ANCS 2007: 47-56
22EEAvinash Karanth Kodi, Ahmed Louri: Power-Aware Bandwidth-Reconfigurable Optical Interconnects for High-Performance Computing (HPC) Systems. IPDPS 2007: 1-10
21EEAvinash Karanth Kodi, Ahmed Louri: Performance adaptive power-aware reconfigurable optical interconnects for high-performance computing (HPC) systems. SC 2007: 6
2005
20EEAvinash Karanth Kodi, Ahmed Louri: Design of a High-Speed Optical Interconnect for Scalable Shared-Memory Multiprocessors. IEEE Micro 25(1): 41-49 (2005)
2004
19EEAvinash Karanth Kodi, Ahmed Louri: A Scalable Architecture for Distributed Shared Memory Multiprocessors Using Optical Interconnects. IPDPS 2004
18EEAhmed Louri, Avinash Karanth Kodi: An Optical Interconnection Network and a Modified Snooping Protocol for the Design of Large-Scale Symmetric Multiprocessors (SMPs). IEEE Trans. Parallel Distrib. Syst. 15(12): 1093-1104 (2004)
2000
17EEPeng Yin Choo, Abram Detofsky, Ahmed Louri: The Equivalency Processing Parallel Photonic Integrated Circuit (EP3IC), a Parallel Digital Equivalence Search Module. AIPR 2000: 64-70
16EEBrian Webb, Ahmed Louri: A Class of Highly Scalable Optical Crossbar-Connected Interconnection Networks (SOCNs) for Parallel Computing Systems. IEEE Trans. Parallel Distrib. Syst. 11(5): 444-458 (2000)
1999
15 Peng Yin Choo, Abram Detofsky, Ahmed Louri: A Multi-Wavelength Optical Content-Addressable Parallel Processor (MW-OCAPP) for High-Speed Parallel Relational Database Processing: Architectural Concepts and Preliminary Experimental System. IPPS/SPDP Workshops 1999: 873-886
1998
14EEThomas S. Jones, Ahmed Louri: Media Access Protocols For A Scalable Optical Interconnection Network. ICPP 1998: 304-
13EEAhmed Louri, Brent Weech, Costas Neocleous: A Spanning Multichannel Linked Hypercube: A Gradually Scalable Optical Interconnection Network for Massively Parallel Computing. IEEE Trans. Parallel Distrib. Syst. 9(5): 497-512 (1998)
1994
12 Ahmed Louri, Hongki Sung: 3D Optical Interconnects for High-Speed Interchip and Interboard Communications. IEEE Computer 27(10): 27-37 (1994)
11 Ahmed Louri, James A. Hatch Jr.: An Optical Associative Parallel Processor for High-Speed Database Processing. IEEE Computer 27(11): 65-71 (1994)
1993
10 Earl Hokens, Ahmed Louri: Performance Considerations Relating to the Design of Interconnection Networks for Multiprocessing Systems. ICPP 1993: 206-209
9 Bernard P. Zeigler, Ahmed Louri: A Simulation Environment for Intelligent Machine Architectures. J. Parallel Distrib. Comput. 18(1): 77-88 (1993)
1992
8 Ahmed Louri, Hongki Sung: A Compiler Directed Cache Coherence Scheme with Fast and Parallel Explicit Invalidation. ICPP (1) 1992: 2-9
1991
7 Sy-Yen Kuo, Ahmed Louri, Sheng-Chiech Liang: Design and Evaluation of Fault-Tolerant Interleaved Memory Systems. ICPP (1) 1991: 188-195
6 Ahmed Louri: Design of an Optical Content-Addressable Parallel Processor with Applications to Fast Searching and Information Retrieval. IPPS 1991: 234-239
5 Ahmed Louri: An Optical Content-Adressable Parallel Processor for Fast Searching and Retrieving. PARLE (1) 1991: 338-354
1990
4EEAhmed Louri: A Symbolic Substitution Based Parallel Architecture and Algorithms for High-speed Parallel Processing. ACM Conference on Computer Science 1990: 173-179
1988
3 Kai Hwang, Ahmed Louri: Optical Arithmetic Using Signed-Digit Symbolic Substitution. ICPP (1) 1988: 55-64
2 Ahmed Louri, Kai Hwang: A Bit-Plane Architecture for Optical Computing with Two-Dimensional Symbolic Substitution. ISCA 1988: 18-27
1987
1 Ahmed Louri, Kai Hwang: A Parallel Architecture for Optical Computing. PPSC 1987: 414-418

Coauthor Index

1Peng Yin Choo [15] [17]
2Abram Detofsky [15] [17]
3James A. Hatch Jr. [11]
4Earl Hokens [10]
5Kai Hwang [1] [2] [3]
6Thomas S. Jones [14]
7Avinash Kodi [26]
8Avinash Karanth Kodi [18] [19] [20] [21] [22] [23] [24] [25] [27]
9Sy-Yen Kuo [7]
10Sheng-Chiech Liang [7]
11Costas Neocleous [13]
12Ashwini Sarathy [23] [24] [25]
13Jin Sun [26]
14Hongki Sung [8] [12]
15Janet Wang [27]
16Janet Meiling Wang (Janet Meiling Wang Roveda) [26]
17Brian Webb [16]
18Brent Weech [13]
19Bernard P. Zeigler [9]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)