dblp.uni-trier.dewww.uni-trier.de

Seh-Woong Jeong

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2003
14EEJung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems: An Intelligent Cache System with Hardware Prefetching for High Performance. IEEE Trans. Computers 52(5): 607-616 (2003)
2002
13 Woo-Young Jang, Byung-Hoan Chon, Seh-Woong Jeong, Kwanghoon Sohn: Grouped zerotree wavelet image coding for very low bit rate. ICIP (3) 2002: 261-264
12EEJin-Hyuck Choi, Jung-Hoon Lee, Seh-Woong Jeong, Shin-Dug Kim, Charles C. Weems: A Low Power TLB Structure for Embedded Systems. Computer Architecture Letters 1: (2002)
2001
11 Jung-Hoon Lee, Jang-Soo Lee, Seh-Woong Jeong, Shin-Dug Kim: A Banked-Promotion TLB for High Performance and Low Power. ICCD 2001: 118-123
10 Sangyeun Cho, Wooyoung Jung, Yongchun Kim, Seh-Woong Jeong: A Low-Power Cache Design for CalmRISCTM-Based Systems. ICCD 2001: 394-399
9 Pipat Reungsang, Sun Kyu Park, Seh-Woong Jeong, Hyung-Lae Roh, Gyungho Lee: Reducing Cache Pollution of Prefetching in a Small Data Cache. ICCD 2001: 530-533
8EEKyoung-Mook Lim, Seh-Woong Jeong, Yong-Chun Kim, H. S. Yang: CalmRISCTM: a low power microcontroller with efficient coprocessor interface. Microprocessors and Microsystems 25(5): 247-261 (2001)
1999
7EEKyoung-Mook Lim, Seh-Woong Jeong, Yong-Chun Kim, Seung-Jae Jeong, Hong-Kyu Kim, Yang-Ho Kim, Bong-Young Chung, Hyung-Lae Roh, H. S. Yang: CalmRISCTM: A Low Power Microcontroller with Efficient Coprocessor Interface. ICCD 1999: 299-302
1994
6EECarl Pixley, Seh-Woong Jeong, Gary D. Hachtel: Exact calculation of synchronizing sequences based on binary decision diagrams. IEEE Trans. on CAD of Integrated Circuits and Systems 13(8): 1024-1034 (1994)
1993
5EEHyunwoo Cho, Seh-Woong Jeong, Fabio Somenzi, Carl Pixley: Synchronizing sequences and symbolic traversal techniques in test generation. J. Electronic Testing 4(1): 19-31 (1993)
1992
4EECarl Pixley, Seh-Woong Jeong, Gary D. Hachtel: Exact Calculation of Synchronization Sequences Based on Binary Decision Diagrams. DAC 1992: 620-623
3EESeh-Woong Jeong, Fabio Somenzi: A new algorithm for the binate covering problem and its application to the minimization of Boolean relations. ICCAD 1992: 417-420
1991
2 Seh-Woong Jeong, Bernard Plessier, Gary D. Hachtel, Fabio Somenzi: Extended BDD's: Trading off Canonicity for Structure in Verification Algorithms. ICCAD 1991: 464-467
1990
1 Hyunwoo Cho, Gary D. Hachtel, Seh-Woong Jeong, Bernard Plessier, Eric M. Schwarz, Fabio Somenzi: ATPG Aspects of FSM Verification. ICCAD 1990: 134-137

Coauthor Index

1Hyunwoo Cho [1] [5]
2Sangyeun Cho [10]
3Jin-Hyuck Choi [12]
4Byung-Hoan Chon [13]
5Bong-Young Chung [7]
6Gary D. Hachtel [1] [2] [4] [6]
7Woo-Young Jang [13]
8Seung-Jae Jeong [7]
9Wooyoung Jung [10]
10Hong-Kyu Kim [7]
11Shin-Dug Kim [11] [12] [14]
12Yang-Ho Kim [7]
13Yong-Chun Kim [7] [8]
14Yongchun Kim [10]
15Gyungho Lee [9]
16Jang-Soo Lee [11]
17Jung-Hoon Lee [11] [12] [14]
18Kyoung-Mook Lim [7] [8]
19Sun Kyu Park [9]
20Carl Pixley [4] [5] [6]
21Bernard Plessier [1] [2]
22Pipat Reungsang [9]
23Hyung-Lae Roh [7] [9]
24Eric M. Schwarz [1]
25Kwanghoon Sohn [13]
26Fabio Somenzi [1] [2] [3] [5]
27Charles C. Weems [12] [14]
28H. S. Yang [7] [8]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)