dblp.uni-trier.dewww.uni-trier.de

Greg Stitt

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
22EEGreg Stitt, Jason R. Villarreal: Recursion flattening. ACM Great Lakes Symposium on VLSI 2008: 131-134
21EEGreg Stitt: Hardware/software partitioning with multi-version implementation exploration. ACM Great Lakes Symposium on VLSI 2008: 143-146
20EEGreg Stitt, Gaurav Chaudhari, James Coole: Traversal caches: a first step towards FPGA acceleration of pointer-based data structures. CODES+ISSS 2008: 61-66
19EEScott Sirowy, Greg Stitt, Frank Vahid: C is for circuits: capturing FPGA circuits as sequential code for portability. FPGA 2008: 117-126
2007
18EEGreg Stitt, Frank Vahid: Thread warping: a framework for dynamic synthesis of thread accelerators. CODES+ISSS 2007: 93-98
17EEGreg Stitt, Frank Vahid: Binary synthesis. ACM Trans. Design Autom. Electr. Syst. 12(3): (2007)
16EEGreg Stitt, Frank Vahid: A Decompilation Approach to Partitioning Software for Microprocessor/FPGA Platforms CoRR abs/0710.4700: (2007)
2006
15EEGreg Stitt, Frank Vahid, Walid A. Najjar: A code refinement methodology for performance-improved synthesis from C. ICCAD 2006: 716-723
14EERoman L. Lysecky, Greg Stitt, Frank Vahid: Warp Processors. ACM Trans. Design Autom. Electr. Syst. 11(3): 659-681 (2006)
2005
13EEGreg Stitt, Frank Vahid, Gordon McGregor, Brian Einloth: Hardware/software partitioning of software binaries: a case study of H.264 decode. CODES+ISSS 2005: 285-290
12EEGreg Stitt, Frank Vahid: A Decompilation Approach to Partitioning Software for Microprocessor/FPGA Platforms. DATE 2005: 396-397
11EEGreg Stitt, Zhi Guo, Walid A. Najjar, Frank Vahid: Techniques for synthesizing binaries to an advanced register/memory structure. FPGA 2005: 118-124
2004
10EEGreg Stitt, Frank Vahid, Shawn Nematbakhsh: Energy savings and speedups from partitioning critical software loops to hardware in embedded systems. ACM Trans. Embedded Comput. Syst. 3(1): 218-232 (2004)
2003
9EEGreg Stitt, Roman L. Lysecky, Frank Vahid: Dynamic hardware/software partitioning: a first approach. DAC 2003: 250-255
8EEDinesh C. Suresh, Walid A. Najjar, Frank Vahid, Jason R. Villarreal, Greg Stitt: Profiling tools for hardware/software partitioning of embedded applications. LCTES 2003: 189-198
7EEFrank Vahid, Roman L. Lysecky, Chuanjun Zhang, Greg Stitt: Highly configurable platforms for embedded computing systems. Microelectronics Journal 34(11): 1025-1029 (2003)
2002
6EEBrian Grattan, Greg Stitt, Frank Vahid: Codesign-extended applications. CODES 2002: 1-6
5EEGreg Stitt, Brian Grattan, Jason R. Villarreal, Frank Vahid: Using On-Chip Configurable Logic to Reduce Embedded System Software Energy. FCCM 2002: 143-151
4EEGreg Stitt, Frank Vahid: Hardware/software partitioning of software binaries. ICCAD 2002: 164-170
3EEGreg Stitt, Frank Vahid: Energy Advantages of Microprocessor Platforms with On-Chip Configurable Logic. IEEE Design & Test of Computers 19(6): 36-43 (2002)
2001
2EEFrank Vahid, Rilesh Patel, Greg Stitt: Propagating constants past software to hardware peripherals in fixed-application embedded systems. SIGARCH Computer Architecture News 29(5): 25-30 (2001)
2000
1EEGreg Stitt, Frank Vahid, Tony Givargis, Roman L. Lysecky: A first-step towards an architecture tuning methodology for low power. CASES 2000: 187-192

Coauthor Index

1Gaurav Chaudhari [20]
2James Coole [20]
3Brian Einloth [13]
4Tony Givargis [1]
5Brian Grattan [5] [6]
6Zhi Guo [11]
7Roman L. Lysecky [1] [7] [9] [14]
8Gordon McGregor [13]
9Walid A. Najjar [8] [11] [15]
10Shawn Nematbakhsh [10]
11Rilesh Patel [2]
12Scott Sirowy [19]
13Dinesh C. Suresh [8]
14Frank Vahid [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19]
15Jason R. Villarreal [5] [8] [22]
16Chuanjun Zhang [7]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)