dblp.uni-trier.dewww.uni-trier.de

Yuzheng Ding

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
18EEJason Cong, Yuzheng Ding: FPGA Technology Mapping. Encyclopedia of Algorithms 2008
2005
17EEYuzheng Ding, Peter Suaris, Nan-Chi Chou: The effect of post-layout pin permutation on timing. FPGA 2005: 41-50
2004
16EEPeter Suaris, Lung-Tien Liu, Yuzheng Ding, Nan-Chi Chou: Incremental physical resynthesis for timing optimization. FPGA 2004: 99-108
1999
15EEJason Cong, Chang Wu, Yuzheng Ding: Cut Ranking and Pruning: Enabling a General and Efficient FPGA Mapping Solution. FPGA 1999: 29-35
1996
14EEJason Cong, John Peck, Yuzheng Ding: RASP: A General Logic Synthesis System for SRAM-Based FPGAs. FPGA 1996: 137-143
13EEJason Cong, Yuzheng Ding: Combinational logic synthesis for LUT based field programmable gate arrays. ACM Trans. Design Autom. Electr. Syst. 1(2): 145-204 (1996)
1995
12EEJason Cong, Yuzheng Ding: On Nominal Delay Minimization in LUT-based FPGA Technology Mapping. FPGA 1995: 82-88
1994
11EEJason Cong, Yuzheng Ding, Tong Gao, Kuang-Chien Chen: LUT-based FPGA technology mapping under arbitrary net-delay models. Computers & Graphics 18(4): 507-516 (1994)
10EEJason Cong, Yuzheng Ding: On area/depth trade-off in LUT-based FPGA technology mapping. IEEE Trans. VLSI Syst. 2(2): 137-148 (1994)
9EEJason Cong, Yuzheng Ding: FlowMap: an optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. IEEE Trans. on CAD of Integrated Circuits and Systems 13(1): 1-12 (1994)
8 Yuzheng Ding, Mark Allen Weiss: On the Complexity of Building an Interval Heap. Inf. Process. Lett. 50(3): 143-144 (1994)
1993
7EEJason Cong, Yuzheng Ding: On Area/Depth Trade-off in LUT-Based FPGA Technology Mapping. DAC 1993: 213-218
6EEJason Cong, Yuzheng Ding: Beyond the combinatorial limit in depth minimization for LUT-based FPGA designs. ICCAD 1993: 110-114
5 Yuzheng Ding, Mark Allen Weiss: The K-D Heap: An Efficient Multi-dimensional Priority Queue. WADS 1993: 302-313
4 Yuzheng Ding, Mark Allen Weiss: The Relaxed min-max Heap. Acta Inf. 30(3): 215-231 (1993)
1992
3EEJason Cong, Yuzheng Ding: An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs. ICCAD 1992: 48-53
2 Jason Cong, Yuzheng Ding, Andrew B. Kahng, Peter Trajmar, Kuang-Chien Chen: An Improved Graph-Based FPGA Techology Mapping Algorithm For Delay Optimization. ICCD 1992: 154-158
1EEKuang-Chien Chen, Jason Cong, Yuzheng Ding, Andrew B. Kahng, Peter Trajmar: DAG-Map: Graph-Based FPGA Technology Mapping for Delay Optimization. IEEE Design & Test of Computers 9(3): 7-20 (1992)

Coauthor Index

1Kuang-Chien Chen [1] [2] [11]
2Nan-Chi Chou [16] [17]
3Jason Cong [1] [2] [3] [6] [7] [9] [10] [11] [12] [13] [14] [15] [18]
4Tong Gao [11]
5Andrew B. Kahng [1] [2]
6Lung-Tien Liu [16]
7John Peck [14]
8Peter Suaris (Peter Ramyalal Suaris) [16] [17]
9Peter Trajmar [1] [2]
10Mark Allen Weiss [4] [5] [8]
11Chang Wu [15]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)