dblp.uni-trier.dewww.uni-trier.de

Satoshi Komatsu

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
18EEShigeru Watanabe, Kenshu Seto, Y. Ishikawa, Satoshi Komatsu, Masahiro Fujita: Protocol Transducer Synthesis using Divide and Conquer approach. ASP-DAC 2007: 280-285
17EEThanyapat Sakunkonchak, Satoshi Komatsu, Masahiro Fujita: Using Counterexample Analysis to Minimize the Number of Predicates for Predicate Abstraction. ATVA 2007: 553-563
16EEShanghua Gao, Kenshu Seto, Satoshi Komatsu, Masahiro Fujita: Interconnect-aware Pipeline Synthesis for Array based Reconfigurable Architectures. IESS 2007: 121-134
2006
15EESatoshi Komatsu, Masahiro Fujita: An optimization of bus interconnects pitch for low-power and reliable bus encoding scheme. ISCAS 2006
14EEThanyapat Sakunkonchak, Satoshi Komatsu, Masahiro Fujita: Synchronization Verification in System-Level Design with ILP Solvers. IEICE Transactions 89-A(12): 3387-3396 (2006)
13EEYu Liu, Satoshi Komatsu, Masahiro Fujita: The AMS Extension to System Level Design Language - SpecC. IEICE Transactions 89-A(12): 3397-3407 (2006)
12EEYu Liu, Satoshi Komatsu, Masahiro Fujita: Synchronization Mechanism for Timed/Untimed Mixed-Signal System Level Design Environment. IEICE Transactions 89-A(4): 1018-1026 (2006)
2005
11EEYu Liu, Thanyapat Sakunkonchak, Satoshi Komatsu, Masahiro Fujita: System level design language extensions for timed/untimed digital-analog combined system design. ACM Great Lakes Symposium on VLSI 2005: 130-133
10EEYu Liu, Satoshi Komatsu, Masahiro Fujita: AMS Extensions for Timed/Untimed System-Level Design Language. FDL 2005: 77-81
9 Shanghua Gao, Kenshu Seto, Satoshi Komatsu, Masahiro Fujita: Pipeline Scheduling for Array Based Reconfigurable Architectures Considering Interconnect Delays. FPT 2005: 137-144
8EEThanyapat Sakunkonchak, Satoshi Komatsu, Masahiro Fujita: Synchronization verification in system-level design with ILP solvers. MEMOCODE 2005: 121-130
7EESatoshi Komatsu, Masahiro Fujita: Low Power and Fault Tolerant Encoding Methods for On-Chip Data Transfer in Practical Applications. IEICE Transactions 88-A(12): 3282-3289 (2005)
2003
6EEMasahiro Fujita, Satoshi Komatsu, Hiroshi Saito, Kenshu Seto, Thanyapat Sakunkonchak, Yoshihisa Kojima: Field Modifiable Architecture with FPGAs and its Design/Verification/Debugging Methodologies. HICSS 2003: 279
5EEHiroshi Saito, Kenshu Seto, Yoshihisa Kojima, Satoshi Komatsu, Masahiro Fujita: Engineering Changes in Field Modifiable Architectures. MEMOCODE 2003: 87-94
4EETohru Ishihara, Satoshi Komatsu, Makoto Ikeda, Masahiro Fujita, Kunihiro Asada: Comparative Study On Verilog-Based And C-Based Hardware Design Education. MSE 2003: 41-42
2002
3 Yoshihisa Kojima, Hiroshi Saito, Kenshu Seto, Satoshi Komatsu, Masahiro Fujita: Field Modifiable Architecture and its Design Methodology: System Design Without Logic Synthesis. IWLS 2002: 103-108
1999
2EESatoshi Komatsu, Makoto Ikeda, Kunihiro Asada: Low Power Chip Interface Based on Bus Data Encoding with Adaptive Code-Book Method. Great Lakes Symposium on VLSI 1999: 368-371
1998
1 Satoshi Komatsu, Makoto Ikeda, Kunihiro Asada: Low Power Micoprocessors for Comparative Study on Bus Architecture and Multiplexer Architecture. ASP-DAC 1998: 323-324

Coauthor Index

1Kunihiro Asada [1] [2] [4]
2Masahiro Fujita [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18]
3Shanghua Gao [9] [16]
4Makoto Ikeda [1] [2] [4]
5Tohru Ishihara [4]
6Y. Ishikawa [18]
7Yoshihisa Kojima [3] [5] [6]
8Yu Liu [10] [11] [12] [13]
9Hiroshi Saito [3] [5] [6]
10Thanyapat Sakunkonchak [6] [8] [11] [14] [17]
11Kenshu Seto [3] [5] [6] [9] [16] [18]
12Shigeru Watanabe [18]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)