dblp.uni-trier.dewww.uni-trier.de

Hyesoon Kim

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
22EEJosé A. Joao, Onur Mutlu, Hyesoon Kim, Rishi Agarwal, Yale N. Patt: Improving the performance of object-oriented languages with dynamic predication of indirect jumps. ASPLOS 2008: 80-90
21EEChang Joo Lee, Hyesoon Kim, Onur Mutlu, Yale N. Patt: Performance-aware speculation control using wrong path usefulness prediction. HPCA 2008: 39-49
20EENagesh B. Lakshminarayana, Hyesoon Kim: Understanding performance, power and energy behavior in asymmetric multiprocessors. ICCD 2008: 471-477
2007
19EEHyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt: Profile-assisted Compiler Support for Dynamic Predication in Diverge-Merge Processors. CGO 2007: 367-378
18EESanthosh Srinath, Onur Mutlu, Hyesoon Kim, Yale N. Patt: Feedback Directed Prefetching: Improving the Performance and Bandwidth-Efficiency of Hardware Prefetchers. HPCA 2007: 63-74
17EEHyesoon Kim, José A. Joao, Onur Mutlu, Chang Joo Lee, Yale N. Patt, Robert Cohn: VPC prediction: reducing the cost of indirect branches via hardware-based dynamic devirtualization. ISCA 2007: 424-435
16EEJosé A. Joao, Onur Mutlu, Hyesoon Kim, Yale N. Patt: Dynamic Predication of Indirect Jumps. Computer Architecture Letters 6(2): 25-28 (2007)
15EEHyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt: Diverge-Merge Processor: Generalized and Energy-Efficient Dynamic Predication. IEEE Micro 27(1): 94-104 (2007)
2006
14EEHyesoon Kim, M. Aater Suleman, Onur Mutlu, Yale N. Patt: 2D-Profiling: Detecting Input-Dependent Branches with a Single Input Data Set. CGO 2006: 159-172
13EEHyesoon Kim, José A. Joao, Onur Mutlu, Yale N. Patt: Diverge-Merge Processor (DMP): Dynamic Predicated Execution of Complex Control-Flow Graphs Based on Frequently Executed Paths. MICRO 2006: 53-64
12EEOnur Mutlu, Hyesoon Kim, Yale N. Patt: Efficient Runahead Execution: Power-Efficient Memory Latency Tolerance. IEEE Micro 26(1): 10-20 (2006)
11EEHyesoon Kim, Onur Mutlu, Yale N. Patt, Jared Stark: Wish Branches: Enabling Adaptive and Aggressive Predicated Execution. IEEE Micro 26(1): 48-58 (2006)
10EEOnur Mutlu, Hyesoon Kim, Yale N. Patt: Address-Value Delta (AVD) Prediction: A Hardware Technique for Efficiently Parallelizing Dependent Cache Misses. IEEE Trans. Computers 55(12): 1491-1508 (2006)
2005
9EEOnur Mutlu, Hyesoon Kim, Yale N. Patt: Techniques for Efficient Processing in Runahead Execution Engines. ISCA 2005: 370-381
8EEOnur Mutlu, Hyesoon Kim, Yale N. Patt: Address-Value Delta (AVD) Prediction: Increasing the Effectiveness of Runahead Execution by Exploiting Regular Memory Allocation Patterns. MICRO 2005: 233-244
7EEHyesoon Kim, Onur Mutlu, Jared Stark, Yale N. Patt: Wish Branches: Combining Conditional Branching and Predication for Adaptive Predicated Execution. MICRO 2005: 43-54
6EEOnur Mutlu, Hyesoon Kim, Jared Stark, Yale N. Patt: On Reusing the Results of Pre-Executed Instructions in a Runahead Execution Processor. Computer Architecture Letters 4(1): 2 (2005)
5EEOnur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt: An Analysis of the Performance Impact of Wrong-Path Memory References on Out-of-Order and Runahead Execution Processors. IEEE Trans. Computers 54(12): 1556-1571 (2005)
4EEOnur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt: Using the First-Level Caches as Filters to Reduce the Pollution Caused by Speculative Memory References. International Journal of Parallel Programming 33(5): 529-559 (2005)
2004
3EEDavid N. Armstrong, Hyesoon Kim, Onur Mutlu, Yale N. Patt: Wrong Path Events: Exploiting Unusual and Illegal Program Behavior for Early Misprediction Detection and Recovery. MICRO 2004: 119-128
2EEOnur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt: Cache Filtering Techniques to Reduce the Negative Impact of Useless Speculative Memory References on Processor Performance. SBAC-PAD 2004: 2-9
1EEOnur Mutlu, Hyesoon Kim, David N. Armstrong, Yale N. Patt: Understanding the effects of wrong-path memory references on processor performance. WMPI 2004: 56-64

Coauthor Index

1Rishi Agarwal [22]
2David N. Armstrong [1] [2] [3] [4] [5]
3Robert S. Cohn (Robert Cohn) [17]
4José A. Joao [13] [15] [16] [17] [19] [22]
5Nagesh B. Lakshminarayana [20]
6Chang Joo Lee [17] [21]
7Onur Mutlu [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [21] [22]
8Yale N. Patt [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17] [18] [19] [21] [22]
9Santhosh Srinath [18]
10Jared Stark [6] [7] [11]
11M. Aater Suleman [14]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)