dblp.uni-trier.dewww.uni-trier.de

Mohamed A. Elgamel

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
17EEZaher Merhi, Mohamed A. Elgamel, Magdy Bayoumi: Fully Decentralized Weighted Kalman Filter for Wireless Sensor Networks with FuzzyART Neural Networks. ISCC 2007: 643-648
2005
16EERamy E. Aly, Mohamed A. Elgamel, Magdy A. Bayoumi: Dual sense amplified bit lines (DSABL) architecture for low-power SRAM design. ISCAS (2) 2005: 1650-1653
15EEYijun Li, Mohamed A. Elgamel, Magdy A. Bayoumi: A partial parallel algorithm and architecture for arithmetic encoder in JPEG2000. ISCAS (5) 2005: 5198-5201
14EEMohamed A. Elgamel, Ashok Kumar, Magdy A. Bayoumi: Efficient shield insertion for inductive noise reduction in nanometer technologies. IEEE Trans. VLSI Syst. 13(3): 401-405 (2005)
13EEMohamed A. Elgamel, Md. Ibrahim Faisal, Magdy A. Bayoumi: Noise Metrics in Flip-Flop Designs. IEICE Transactions 88-D(7): 1501-1505 (2005)
2004
12EEAshok Kumar, Magdy A. Bayoumi, Mohamed A. Elgamel: A methodology for low power scheduling with resources operating at multiple voltages. Integration 37(1): 29-62 (2004)
11EEMohamed A. Elgamel, Magdy A. Bayoumi, Ahmed M. Shams, Bertrand Zavidovique: Low Power Full Search Block Matching Motion Estimation Vlsi Architectures. Journal of Circuits, Systems, and Computers 13(6): 1271-1288 (2004)
2003
10EEMohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi: Noise tolerant low voltage XOR-XNOR for fast arithmetic. ACM Great Lakes Symposium on VLSI 2003: 285-288
9EEMohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi: Noise-constrained interconnect optimization for nanometer technologies. ISCAS (5) 2003: 481-484
8EEMohamed A. Elgamel, Kannan S. Tharmalingam, Magdy A. Bayoumi: Crosstalk Noise Analysis in Ultra Deep Submicrometer Technologies. ISVLSI 2003: 189-192
7EEMohamed A. Elgamel, Magdy A. Bayoumi: Minimum-Area Shield Insertion for Explicit Inductive Noise Reduction. SBCCI 2003: 256-260
6EESumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi: Novel Design Methodology for High-Performance XOR-XNOR Circuit Design. SBCCI 2003: 71-
5 Sumeer Goel, Mohamed A. Elgamel, Magdy A. Bayoumi: Energy Efficient and Noise-Tolerant XOR-XNOR Circuit Design. VLSI 2003: 125-130
2002
4EEMohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi: Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. ISVLSI 2002: 89-94
3 Mohamed A. Elgamel, Magdy A. Bayoumi: On Low Power High Level Synthesis Using Genetic Algorithms. IWLS 2002: 37-40
2001
2EEMohamed A. Elgamel, Ahmed M. Shams, Xi Xueling, Magdy A. Bayoumi: Enhanced low power motion estimation VLSI architectures for video compression. ISCAS (4) 2001: 474-477
1EEAhmed M. Shams, Mohamed A. Elgamel, Magdy A. Bayoumi: Hybrid Mesh-Based/Block-Based Motion Compensation Architecture. Workshop on Digital and Computational Video 2001: 194-201

Coauthor Index

1Ramy E. Aly [16]
2Magdy A. Bayoumi (Magdy Bayoumi) [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17]
3Tarek Darwish [4]
4Md. Ibrahim Faisal [13]
5Sumeer Goel [5] [6] [10]
6Ashok Kumar [12] [14]
7Yijun Li [15]
8Zaher Merhi [17]
9Ahmed M. Shams [1] [2] [11]
10Kannan S. Tharmalingam [8] [9]
11Xi Xueling [2]
12Bertrand Zavidovique [11]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)