dblp.uni-trier.dewww.uni-trier.de

Anuradha Agarwal

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2005
8EEAnuradha Agarwal, Glenn Wolfe, Ranga Vemuri: Accuracy driven performance macromodeling of feasible regions during synthesis of analog circuits. ACM Great Lakes Symposium on VLSI 2005: 482-487
7 Anuradha Agarwal, Ranga Vemuri: Hierarchical performance macromodels of feasible regions for synthesis of analog and RF circuits. ICCAD 2005: 430-436
6EEAnuradha Agarwal, Ranga Vemuri: Layout-Aware RF Circuit Synthesis Driven by Worst Case Parasitic Corners. ICCD 2005: 444-452
5EEHuiying Yang, Anuradha Agarwal, Ranga Vemuri: Fast Analog Circuit Synthesis Using Multiparameter Sensitivity Analysis Based on Element-Coefficient Diagrams. ISVLSI 2005: 71-76
2004
4EERaoul F. Badaoui, Hemanth Sampath, Anuradha Agarwal, Ranga Vemuri: A high level language for pre-layout extraction in parasite-aware analog circuit synthesis. ACM Great Lakes Symposium on VLSI 2004: 271-276
3EEAnuradha Agarwal, Hemanth Sampath, Veena Yelamanchili, Ranga Vemuri: Fast and accurate parasitic capacitance models for layout-aware. DAC 2004: 145-150
2EEAnuradha Agarwal, Hemanth Sampath, Veena Yelamanchili, Ranga Vemuri: Accurate Estimation of Parasitic Capacitances in Analog Circuits. DATE 2004: 1364-1365
1EEMukesh Ranjan, Wim Verhaegen, Anuradha Agarwal, Hemanth Sampath, Ranga Vemuri, Georges G. E. Gielen: Fast, Layout-Inclusive Analog Circuit Synthesis using Pre-Compiled Parasitic-Aware Symbolic Performance Models. DATE 2004: 604-609

Coauthor Index

1Raoul F. Badaoui [4]
2Georges G. E. Gielen [1]
3Mukesh Ranjan [1]
4Hemanth Sampath [1] [2] [3] [4]
5Ranga Vemuri [1] [2] [3] [4] [5] [6] [7] [8]
6Wim Verhaegen [1]
7Glenn Wolfe [8]
8Huiying Yang [5]
9Veena Yelamanchili [2] [3]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)