dblp.uni-trier.dewww.uni-trier.de

Yih-Lang Li

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
11EEYu-Ning Chang, Yih-Lang Li, Wei-Tin Lin, Wen-Nai Cheng: Non-slicing floorplanning-based crosstalk reduction on gridless track assignment for a gridless routing system with fast pseudo-tile extraction. ISPD 2008: 134-141
10EEPeng-Yang Hung, Ying-Shu Lou, Yih-Lang Li: Minimum Shield Insertion on Full-Chip RLC Crosstalk Budgeting Routing. ISQED 2008: 514-519
9EEYiming Li, Shao-Ming Yu, Yih-Lang Li: Parallel solution of large-scale eigenvalue problem for master equation in protein folding dynamics. J. Parallel Distrib. Comput. 68(5): 678-685 (2008)
8EEYiming Li, Shao-Ming Yu, Yih-Lang Li: Electronic design automation using a unified optimization framework. Mathematics and Computers in Simulation 79(4): 1137-1152 (2008)
7EEYiming Li, Yih-Lang Li, Shao-Ming Yu: Design optimization of a current mirror amplifier integrated circuit using a computational statistics technique. Mathematics and Computers in Simulation 79(4): 1165-1177 (2008)
2007
6EEYiming Li, Shao-Ming Yu, Yih-Lang Li: A Simulation-Based Hybrid Optimization Technique for Low Noise Amplifier Design Automation. International Conference on Computational Science (4) 2007: 259-266
5EEYih-Lang Li, Jin-Yih Li, Wen-Bin Chen: An Efficient Tile-Based ECO Router Using Routing Graph Reduction and Enhanced Global Routing Flow. IEEE Trans. on CAD of Integrated Circuits and Systems 26(2): 345-358 (2007)
4EEYih-Lang Li, Hsin-Yu Chen, Chih-Ta Lin: NEMO: A New Implicit-Connection-Graph-Based Gridless Router With Multilayer Planes and Pseudo Tile Propagation. IEEE Trans. on CAD of Integrated Circuits and Systems 26(4): 705-718 (2007)
2005
3EEJin-Yih Li, Yih-Lang Li: An efficient tile-based ECO router with routing graph reduction and enhanced global routing flow. ISPD 2005: 7-13
1995
2EEYih-Lang Li, Cheng-Wen Wu: Cellular automata for efficient parallel logic and fault simulation. IEEE Trans. on CAD of Integrated Circuits and Systems 14(6): 740-749 (1995)
1994
1 Yih-Lang Li, Cheng-Wen Wu: Logic and Fault Simulation by Cellular Automata. EDAC-ETC-EUROASIC 1994: 552-556

Coauthor Index

1Yu-Ning Chang [11]
2Hsin-Yu Chen [4]
3Wen-Bin Chen [5]
4Wen-Nai Cheng [11]
5Peng-Yang Hung [10]
6Jin-Yih Li [3] [5]
7Yiming Li [6] [7] [8] [9]
8Chih-Ta Lin [4]
9Wei-Tin Lin [11]
10Ying-Shu Lou [10]
11Cheng-Wen Wu [1] [2]
12Shao-Ming Yu [6] [7] [8] [9]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)