dblp.uni-trier.dewww.uni-trier.de

Aiqun Cao

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2007
9EERuibing Lu, Aiqun Cao, Cheng-Kok Koh: SAMBA-Bus: A High Performance Bus Architecture for System-on-Chips. IEEE Trans. VLSI Syst. 15(1): 69-79 (2007)
2006
8EEAiqun Cao, Ruibing Lu, Chen Li, Cheng-Kok Koh: Postlayout optimization for synthesis of Domino circuits. ACM Trans. Design Autom. Electr. Syst. 11(4): 797-821 (2006)
2005
7EERuibing Lu, Aiqun Cao, Cheng-Kok Koh: Improving the scalability of SAMBA bus architecture. ASP-DAC 2005: 1164-1167
6EEAiqun Cao, Ruibing Lu, Cheng-Kok Koh: Post-layout logic duplication for synthesis of domino circuits with complex gates. ASP-DAC 2005: 260-265
5EEAiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaushik Roy: Synthesis of skewed logic circuits. ACM Trans. Design Autom. Electr. Syst. 10(2): 205-228 (2005)
2004
4EEAiqun Cao, Cheng-Kok Koh: Post-layout logic optimization of domino circuits. DAC 2004: 820-825
2003
3EEAiqun Cao, Cheng-Kok Koh: Non-Crossing OBDDs for Mapping to Regular Circuit Structures. ICCD 2003: 338-343
2002
2EEAiqun Cao, Naran Sirisantana, Cheng-Kok Koh, Kaushik Roy: Synthesis of Selectively Clocked Skewed Logic Circuits. ISQED 2002: 229-234
2001
1EENaran Sirisantana, Aiqun Cao, Shawn Davidson, Cheng-Kok Koh, Kaushik Roy: Selectively clocked skewed logic (SCSL): low-power logic style for high-performance applications. ISLPED 2001: 267-270

Coauthor Index

1Shawn Davidson [1]
2Cheng-Kok Koh [1] [2] [3] [4] [5] [6] [7] [8] [9]
3Chen Li [8]
4Ruibing Lu [6] [7] [8] [9]
5Kaushik Roy [1] [2] [5]
6Naran Sirisantana [1] [2] [5]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)