dblp.uni-trier.dewww.uni-trier.de

William K. C. Lam

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

1996
9EEWilliam K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Valid clock frequencies and their computation in wavepipelined circuits. IEEE Trans. on CAD of Integrated Circuits and Systems 15(7): 791-807 (1996)
1995
8EEWilliam K. C. Lam, Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Delay fault coverage, test set size, and performance trade-offs. IEEE Trans. on CAD of Integrated Circuits and Systems 14(1): 32-44 (1995)
1994
7 William K. C. Lam, Robert K. Brayton: Criteria for the Simple Path Property in Timed Automata. CAV 1994: 27-40
6EEWilliam K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Exact Minimum Cycle Times for Finite State Machines. DAC 1994: 100-105
1993
5 William K. C. Lam, Robert K. Brayton: Alternating RQ Timed Automata. CAV 1993: 237-252
4EEWilliam K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Circuit Delay Models and Their Exact Computation Using Timed Boolean Functions. DAC 1993: 128-134
3EEWilliam K. C. Lam, Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Delay Fault Coverage and Performance Tradeoffs. DAC 1993: 446-452
1992
2EEWilliam K. C. Lam, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli: Valid clocking in wavepipelined circuits. ICCAD 1992: 518-525
1 William K. C. Lam, Robert K. Brayton: On Relationship Between ITE and BDD. ICCD 1992: 448-451

Coauthor Index

1Robert K. Brayton [1] [2] [3] [4] [5] [6] [7] [8] [9]
2Alexander Saldanha [3] [8]
3Alberto L. Sangiovanni-Vincentelli [2] [3] [4] [6] [8] [9]

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)