dblp.uni-trier.dewww.uni-trier.de

Hassan Hassan

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
14EEHassan Hassan, Olivier Brun, J. M. Garcia, David Gauchard: Integration of streaming and elastic traffic: a fixed point approach. SimuTools 2008: 25
13EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: Input Vector Reordering for Leakage Power Reduction in FPGAs. IEEE Trans. on CAD of Integrated Circuits and Systems 27(9): 1555-1564 (2008)
2007
12EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. ASP-DAC 2007: 678-683
2006
11EEHassan Hassan, Jean-Marie Garcia: Aggregate modeling for TCP sessions. WMuNeP 2006: 73-78
10EEHassan Hassan, Jean-Marie Garcia, Olivier Brun: Bandwidth Allocation and Session Scheduling using SIP. JCM 1(5): 17-27 (2006)
9EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: Low-power multi-threshold MCML: Analysis, design, and variability. Microelectronics Journal 37(10): 1097-1104 (2006)
8EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: Impact of technology scaling and process variations on RF CMOS devices. Microelectronics Journal 37(4): 275-282 (2006)
2005
7EEHassan Hassan: Scheduling of TCP sessions in SIP over MPLS based network. CoNEXT 2005: 250-251
6EEHassan Hassan, Mohab Anis, Antoine El Daher, Mohamed I. Elmasry: Activity Packing in FPGAs for Leakage Power Reduction. DATE 2005: 212-217
5EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: A leakage-aware CAD flow for MTCMOS FPGA architectures (abstract only). FPGA 2005: 267
4EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: LAP: a logic activity packing methodology for leakage power-tolerant FPGAs. ISLPED 2005: 257-262
3EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: MOS current mode circuits: analysis, design, and variability. IEEE Trans. VLSI Syst. 13(8): 885-898 (2005)
2EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: Design and optimization of MOS current mode logic for parameter variations. Integration 38(3): 417-437 (2005)
2004
1EEHassan Hassan, Mohab Anis, Mohamed I. Elmasry: Design and optimization of MOS current mode logic for parameter variations. ACM Great Lakes Symposium on VLSI 2004: 33-38

Coauthor Index

1Mohab Anis [1] [2] [3] [4] [5] [6] [8] [9] [12] [13]
2Olivier Brun [10] [14]
3Antoine El Daher [6]
4Mohamed I. Elmasry [1] [2] [3] [4] [5] [6] [8] [9] [12] [13]
5J. M. Garcia [14]
6Jean-Marie Garcia [10] [11]
7David Gauchard [14]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)