dblp.uni-trier.dewww.uni-trier.de

Y. N. Srikant

List of publications from the DBLP Bibliography Server - FAQ
Coauthor Index - Ask others: ACM DL/Guide - CiteSeer - CSB - Google - MSN - Yahoo

2008
47EEArun Rangasamy, Rahul Nagpal, Y. N. Srikant: Compiler-directed frequency and voltage scaling for a multiple clock domain microarchitecture. Conf. Computing Frontiers 2008: 209-218
46EESujit Kumar Chakrabarti, Y. N. Srikant: Test sequence computation for regression testing of reactive systems. ISEC 2008: 131-132
45EEMadan Kumar M. M, Amit Thawani, V. Sridhar, Y. N. Srikant: Analysis of application partitioning for massively multiplayer mobile gaming. MOBILWARE 2008: 28
44EESubhajit Roy, Y. N. Srikant: Improving flow-insensitive solutions for non-separable dataflow problems. SAC 2008: 211-216
43EERahul Nagpal, Y. N. Srikant: Pragmatic integrated scheduling for clustered VLIW architectures. Softw., Pract. Exper. 38(3): 227-257 (2008)
2007
42EERahul Nagpal, Arvind Madan, Amrutur Bhardwaj, Y. N. Srikant: INTACTE: an interconnect area, delay, and energy estimation tool for microarchitectural explorations. CASES 2007: 238-247
41EEKapil Vaswani, Matthew J. Thazhuthaveetil, Y. N. Srikant, P. J. Joseph: Microarchitecture Sensitive Empirical Models for Compiler Optimizations. CGO 2007: 131-143
40EERathijit Sen, Y. N. Srikant: WCET estimation for executables in the presence of data caches. EMSOFT 2007: 203-212
39EESubhajit Roy, Y. N. Srikant: Partial Flow Sensitivity. HiPC 2007: 245-256
38EERahul Nagpal, Y. N. Srikant: Compiler-Assisted Instruction Decoder Energy Optimization for Clustered VLIW Architectures. HiPC 2007: 405-417
37EERathijit Sen, Y. N. Srikant: Executable Analysis using Abstract Interpretation with Circular Linear Progressions. MEMOCODE 2007: 39-48
36EERahul Nagpal, Y. N. Srikant: Register File Energy Optimization for Snooping Based Clustered VLIW Architectures. SBAC-PAD 2007: 161-168
2006
35EERahul Nagpal, Y. N. Srikant: Compiler-assisted leakage energy optimization for clustered VLIW architectures. EMSOFT 2006: 233-241
34EERahul Nagpal, Y. N. Srikant: Exploring Energy-Performance Trade-Offs for Heterogeneous Interconnect Clustered VLIW Processors. HiPC 2006: 497-508
33EESujit Kumar Chakrabarti, Y. N. Srikant: Specification Based Regression Testing Using Explicit State Space Enumeration. ICSEA 2006: 20
2005
32EEKapil Vaswani, Matthew J. Thazhuthaveetil, Y. N. Srikant: A Programmable Hardware Path Profiler. CGO 2005: 217-228
31EEK. Ananda Vardhan, Y. N. Srikant: Transition aware scheduling: increasing continuous idle-periods in resource units. Conf. Computing Frontiers 2005: 189-198
2004
30EERahul Nagpal, Y. N. Srikant: Integrated temporal and spatial scheduling for extended operand clustered VLIW processors. Conf. Computing Frontiers 2004: 457-470
29EERahul Nagpal, Y. N. Srikant: A Graph Matching Based Integrated Scheduling Framework for Clustered VLIW Processors. ICPP Workshops 2004: 530-537
2003
28EEJ. Prakash, C. Sandeep, Priti Shankar, Y. N. Srikant: A Simple and Fast Scheme for Code Compression for VLIW Processors. DCC 2003: 444
27EEKapil Vaswani, Y. N. Srikant: Dynamic recompilation and profile-guided optimisations for a .NET JIT compiler. IEE Proceedings - Software 150(5): 296-302 (2003)
26EEVineeth Kumar Paleri, Y. N. Srikant, Priti Shankar: Partial redundancy elimination: a simple, pragmatic, and provably correct algorithm. Sci. Comput. Program. 48(1): 1-20 (2003)
2002
25 Y. N. Srikant, Priti Shankar: The Compiler Design Handbook: Optimizations and Machine Code Generation CRC Press 2002
24EED. V. Ravindra, Y. N. Srikant: Improved Preprocessing Methods for Modulo Scheduling Algorithms. HiPC 2002: 485-494
23 Y. N. Srikant: Scalar Compiler Optimizations on the Static Single Assignment Form and the Flow Graph. The Compiler Design Handbook 2002: 99-141
22EER. Venugopal, Y. N. Srikant: Scheduling expression trees for delayed-load architectures. Journal of Systems Architecture 48(4-5): 151-173 (2002)
2000
21 Y. N. Srikant, D. V. Ravindra: Effective Parameterization of Architectural Registers for Register Allocation Alogorithms. SIGPLAN Notices 35(6): 37-46 (2000)
1999
20EES. R. Prakash, Y. N. Srikant: Hyperplane Partitioning: An Approach to Global Data Partitioning for Distributed Memory Machines. IPPS/SPDP 1999: 744-
19EEU. Nagaraj Shenoy, Y. N. Srikant, Vijay P. Bhatkar, Sandeep Kohli: Automatic Data Partitioning by Hierarchical Genetic Search. Parallel Algorithms Appl. 14(2): 119-147 (1999)
1998
18 Vineeth Kumar Paleri, Y. N. Srikant, Priti Shankar: A Simple Algorithm for Partial Redundancy Elimination. SIGPLAN Notices 33(12): 35-43 (1998)
1995
17 R. Venugopal, Y. N. Srikant: Scheduling Expression Trees with Reusable Registers on Delayed-Load Architectures. Comput. Lang. 21(1): 49-65 (1995)
1994
16 U. Nagaraj Shenoy, Y. N. Srikant, Vijay P. Bhatkar: An Automatic Parallelization Framework for Multicomputers. Comput. Lang. 20(3): 135-150 (1994)
15 N. Viswanathan, Y. N. Srikant: Parallel Incremental LR Parsing. Comput. Lang. 20(3): 151-175 (1994)
1993
14 K. H. Shekhar, Y. N. Srikant: Linda Sub System on Transputers. Comput. Lang. 18(2): 125-136 (1993)
13 R. Venugopal, Y. N. Srikant: Heuristic Chaining in Directed Acyclic Graphs. Comput. Lang. 19(3): 169-184 (1993)
1991
12 V. Viswanathan, Y. N. Srikant: Parallel Incremental LR Parsing. ICPP (3) 1991: 328-329
1990
11 Arvind M. Murching, Y. V. Prasad, Y. N. Srikant: Incremental Recursive Descent Parsing. Comput. Lang. 15(4): 193-204 (1990)
10 Y. N. Srikant: Parallel Parsing of Arithmetic Expressions. IEEE Trans. Computers 39(1): 130-132 (1990)
1989
9 Arvind M. Murching, Y. N. Srikant: Incremental Attribute Evaluation Through Recursive Procedures. Comput. Lang. 14(4): 225-237 (1989)
8 Alan Gibbons, Y. N. Srikant: A Class of Problems Efficiently Solvable on Mesh-Connected Computers Including Dynamic Expression Evaluation. Inf. Process. Lett. 32(6): 305-311 (1989)
1988
7 H. K. Haripriyan, Y. N. Srikant, Priti Shankar: A Compiler Writing System Based on Affix Grammars. Comput. Lang. 13(1): 1-11 (1988)
1987
6 Y. N. Srikant: Parallel Parsing of Arithmetic Expressions. ICPP 1987: 589-591
5EEY. N. Srikant, Priti Shankar: Parallel parsing of programming languages. Inf. Sci. 43(1-2): 55-83 (1987)
4EEY. N. Srikant, Priti Shankar: A new parallel algorithm for parsing arithmetic infix expressions. Parallel Computing 4(3): 291-304 (1987)
1986
3 Vijay Gehlot, Y. N. Srikant: An Interpreter for SLIPS - An Applicative Language Based on Lambda-Calculus. Comput. Lang. 11(1): 1-13 (1986)
2EEM. P. Subodh Kumar, Y. N. Srikant: Graphical simulation of Petri Nets. Computers & Graphics 10(3): 225-228 (1986)
1982
1EEY. N. Srikant, D. Vidyasagar, Lalit M. Patnaik: An interactive graphics system for 2-D drawing and design. Computers & Graphics 6(1): 23-27 (1982)

Coauthor Index

1Amrutur Bhardwaj [42]
2Vijay P. Bhatkar [16] [19]
3Sujit Kumar Chakrabarti [33] [46]
4Vijay Gehlot [3]
5Alan Gibbons [8]
6H. K. Haripriyan [7]
7P. J. Joseph [41]
8Sandeep Kohli [19]
9M. P. Subodh Kumar [2]
10Madan Kumar M. M [45]
11Arvind Madan [42]
12Arvind M. Murching [9] [11]
13Rahul Nagpal [29] [30] [34] [35] [36] [38] [42] [43] [47]
14Vineeth Kumar Paleri [18] [26]
15Lalit M. Patnaik [1]
16J. Prakash [28]
17S. R. Prakash [20]
18Y. V. Prasad [11]
19Arun Rangasamy [47]
20D. V. Ravindra [21] [24]
21Subhajit Roy [39] [44]
22C. Sandeep [28]
23Rathijit Sen [37] [40]
24Priti Shankar [4] [5] [7] [18] [25] [26] [28]
25K. H. Shekhar [14]
26U. Nagaraj Shenoy [16] [19]
27V. Sridhar [45]
28Amit Thawani [45]
29Matthew J. Thazhuthaveetil [32] [41]
30K. Ananda Vardhan [31]
31Kapil Vaswani [27] [32] [41]
32R. Venugopal [13] [17] [22]
33D. Vidyasagar [1]
34N. Viswanathan [15]
35V. Viswanathan [12]

Colors in the list of coauthors

Copyright © Sun May 17 03:24:02 2009 by Michael Ley (ley@uni-trier.de)